S&P Semi Conductor

45
Current Environment ............................................................................................ 1 Industry Profile ...................................................................................................... 9 Industry Trends ................................................................................................... 11 How the Industry Operates ............................................................................... 18 Key Industry Ratios and Statistics ................................................................... 26 How to Analyze a Semiconductor Equipment Company ............................. 28 Glossary ................................................................................................................ 33 Industry References ........................................................................................... 36 Comparative Company Analysis ......................................................... Appendix This issue updates the one dated November 11, 2010. The next update of this Survey is scheduled for November 2011. Industry Surveys Semiconductor Equipment Angelo Zino, CFA, Semiconductor Equipment Analyst May 12, 2011 CONTACTS: INQUIRIES & CLIENT RELATIONS 800.852.1641 clientrelations@ standardandpoors.com SALES 877.219.1247 [email protected] MEDIA Michael Privitera 212.438.6679 michael_privitera@ standardandpoors.com Standard & Poor’s Equity Research Services 55 Water Street New York, NY 10041

Transcript of S&P Semi Conductor

Page 1: S&P Semi Conductor

Current Environment ............................................................................................ 1

Industry Profile ...................................................................................................... 9

Industry Trends ................................................................................................... 11

How the Industry Operates ............................................................................... 18

Key Industry Ratios and Statistics................................................................... 26

How to Analyze a Semiconductor Equipment Company ............................. 28

Glossary................................................................................................................ 33

Industry References........................................................................................... 36

Comparative Company Analysis ......................................................... Appendix

This issue updates the one dated November 11, 2010. The next update of this Survey is scheduled for November 2011.

Industry Surveys Semiconductor Equipment Angelo Zino, CFA, Semiconductor Equipment Analyst

May 12, 2011

CONTACTS:

INQUIRIES & CLIENT RELATIONS 800.852.1641 clientrelations@ standardandpoors.com

SALES 877.219.1247 [email protected]

MEDIA Michael Privitera 212.438.6679 michael_privitera@ standardandpoors.com

Standard & Poor’s Equity Research Services 55 Water Street New York, NY 10041

Page 2: S&P Semi Conductor

Topics Covered by Industry Surveys

Aerospace & Defense

Airlines

Alcoholic Beverages & Tobacco

Apparel & Footwear: Retailers & Brands

Autos & Auto Parts

Banking

Biotechnology

Broadcasting, Cable & Satellite

Chemicals

Communications Equipment

Computers: Commercial Services

Computers: Consumer Services & the Internet

Computers: Hardware

Computers: Software

Computers: Storage & Peripherals

Electric Utilities

Environmental & Waste Management

Financial Services: Diversified

Foods & Nonalcoholic Beverages

Healthcare: Facilities

Healthcare: Managed Care

Healthcare: Products & Supplies

Heavy Equipment & Trucks

Homebuilding

Household Durables

Household Nondurables

Industrial Machinery

Insurance: Life & Health

Insurance: Property-Casualty

Investment Services

Lodging & Gaming

Metals: Industrial

Movies & Entertainment

Natural Gas Distribution

Oil & Gas: Equipment & Services

Oil & Gas: Production & Marketing

Paper & Forest Products

Pharmaceuticals

Publishing & Advertising

Real Estate Investment Trusts

Restaurants

Retailing: General

Retailing: Specialty

Savings & Loans

Semiconductor Equipment

Semiconductors

Supermarkets & Drugstores

Telecommunications: Wireless

Telecommunications: Wireline

Transportation: Commercial

Global Industry Surveys

Airlines: Asia

Autos & Auto Parts: Europe

Banking: Europe

Food Retail: Europe

Foods & Beverages: Europe

Media: Europe

Oil & Gas: Europe

Pharmaceuticals: Europe

Telecommunications: Asia

Telecommunications: Europe

Tobacco: Europe

Standard & Poor’s Industry Surveys 55 Water Street, New York, NY 10041

EXECUTIVE EDITOR: EILEEN M. BOSSONG-MARTINES ASSOCIATE EDITOR: CHARLES MACVEIGH STATISTICIAN: SALLY KATHRYN NUTTALL

CLIENT SUPPORT: 1-800-523-4534. ISSN 0196-4666. USPS NO. 517-780.

VISIT THE STANDARD & POOR’S WEBSITE: http://www.standardandpoors.com

STANDARD & POOR’S INDUSTRY SURVEYS (ISSN 0196-4666) is published weekly. Annual subscription: $10,500. Please call for special pricing: 1-800-852-1641, option 2. Reproduction in whole or in part (including inputting into a computer) prohibited except by permission of Standard & Poor’s. Executive and Editorial Office: Standard & Poor’s, 55 Water Street, New York, NY 10041. Officers of The McGraw-Hill Companies, Inc.: Harold McGraw III, Chairman, President, and Chief Executive Officer; Kenneth M. Vittor, Executive Vice President and General Counsel; Jack F. Callahan, Jr., Executive Vice President and Chief Financial Officer; John Weisenseel, Senior Vice President, Treasury Operations. Periodicals postage paid at New York, NY 10004 and additional mailing offices. Postmaster: Send address changes to Standard & Poor’s, Industry Surveys, Attn: Mail Prep, 55 Water Street, New York, NY 10041. Information has been obtained by Standard & Poor’s INDUSTRY SURVEYS from sources believed to be reliable. However, because of the possibility of human or mechanical error by our sources, INDUSTRY SURVEYS, or others, INDUSTRY SURVEYS does not guarantee the accuracy, adequacy, or completeness of any information and is not responsible for any errors or omissions or for the results obtained from the use of such information.

Copyright © 2011 Standard & Poor’s Financial Services LLC, a subsidiary of The McGraw-Hill Companies, Inc. All rights reserved. STANDARD & POOR’S, S&P and S&P 500 are registered trademarks of Standard & Poor’s Financial Services LLC. S&P MIDCAP 400 and S&P SMALLCAP 600 are trademarks of Standard & Poor’s Financial Services LLC.

Page 3: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 1

CURRENT ENVIRONMENT

Next leg of the semiconductor equipment cyclical upturn

Standard & Poor’s believes the semiconductor equipment industry is entering the second leg of a cyclical upturn, which experienced a brief pause in recent quarters. However, after sales more than doubled in 2010, we forecast growth to slow going forward, as companies focus on aggressively expanding capacity in certain areas of the market. We expect most demand for semiconductor equipment to be focused on more advanced technology nodes and primarily driven by Intel Corp. and foundries expanding capacity.

A number of factors have set up favorably for the industry to assume this scenario, such as chipmakers’ rising capacity expansion plans and elevated capacity utilization levels, as well as increasing demand for

semiconductors, due in part to the emergence of new consumer products. As a result, we project growth of at least 14% for the industry in 2011, after more than 100% growth in 2010.

We upgraded the semiconductor equipment sub-industry in January 2011, immediately following an announcement by Intel that it would raise capital spending by 73% this year. We see this news providing a monumental catalyst for the industry, which could result in additional players increasing their stated spending plans.

Standard & Poor’s anticipates that equipment spending will increase in conjunction with semiconductor revenue, driven by the emergence of new products and improving

demand for devices like computers. The increasing adoption of smartphones is an important growth driver for chipmakers and equipment providers. Rising consumer interest in tablet devices is also supporting stronger demand, with an increasing number of entrants expected to join the space.

Aggressive expansion by foundries—companies that serve chipmakers looking to outsource their manufacturing operations—is likely to aid demand for semiconductor equipment. We estimate foundries will increase capital spending by more than 45% in 2011, with powerhouse Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) being the biggest spender. Standard & Poor’s sees an ongoing trend of semiconductor makers shifting primary manufacturing operations to foundries, which is likely to result in higher capital spending plans within this segment going forward.

Historically, memory customers have accounted for the largest percentage of equipment spending. However, this cycle could be different: memory makers may be more conservative, considering how severely they were burned in the prior downturn, according to Standard & Poor’s. While DRAM (dynamic random access memory) spending was a major catalyst for the industry in 2010, we see a sharp decline from the segment in 2011, given falling memory prices and customer profitability. Unlike DRAM, which relies heavily on personal computer (PC) demand, the NAND flash memory (a type of nonvolatile memory capable of fast data writing) market depends on a number of different applications and should see more substantial growth in view of the launch of new smartphones and tablet devices.

Elevated capacity utilization rates for chipmakers are also likely to keep equipment spending at extremely high levels. Utilization rates will likely remain above 90% through 2011, a level that many consider a signal

Chart H13: WORLDWIDE SEMICONDUCTOR EQUIPMENT SALES

28.0 28.032.9

42.8

29.5

15.9

39.5

21.8

25.5

19.7

22.2 37.140.547.7

(50)

(25)

0

25

50

75

100

125

150

1997 98 99 00 01 02 03 04 05 06 07 08 09 2010

Expenditures (Bil. $) Year-to-year % change

Source: Semiconductor Equipment and Materials International.

WORLDWIDE SEMICONDUCTOR EQUIPMENT SALES

Page 4: S&P Semi Conductor

2 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

that semiconductor companies will increase equipment spending. These levels are likely to be highest within the foundry segment, where business has seen the sharpest rise since bottoming in 2009. Overall, Standard & Poor’s believes the industry will continue to experience a cyclical recovery following an extended period of under-investing by semiconductor manufacturers between 2007 and 2009.

Bookings to rebound after temporary pause Despite a recent lull in bookings, we anticipate orders for North America–based manufacturers of semiconductor equipment to improve following recent plans by some large chipmakers to increase capital spending. In February 2011, equipment companies reported $1.58 billion (preliminary results) in worldwide orders for North American–made chip equipment (based on a three-month average), slightly above the

January 2011 bookings figure of $1.51 billion, according to Semiconductor Equipment and Materials International (SEMI), a trade association. In February 2011, the book-to-bill was 0.87 (preliminary), meaning that $87 worth of orders was received for every $100 of product billed during the month. The book-to-bill ratio has now been below 1.0 for five consecutive months (starting in October 2010), after rising for 15 consecutive months, which was the longest such streak since early 2000, according to SEMI data. Book-to-bill readings above 1.0 usually point to an expanding industry, while those below 1.0 signal a contracting industry.

Bookings for the prior cyclical downturn hit a trough in March 2009, reaching a monthly low of $245.6 million. Monthly bookings subsequently rose more than seven-fold to a

peak of $1.83 billion in July 2010. Monthly bookings in the most recently completed downturn declined 85% from the May 2007 peak of $1.64 billion. Bookings in February 2011 were $1.58 billion, marking a 13% drop from the recent peak in July 2010. We attribute this to normal digestion by customers following a robust period of capital spending. Historically, semiconductor makers have completed the bulk of their equipment purchases in the first half of the year. (For further explanation of the book-to-bill ratio, see the “Key Industry Ratios and Statistics” section of this Survey.)

We currently forecast orders to improve over the next two to three quarters, as we expect capital intensity for foundry customers to continue to rise and see capacity utilization rates remaining at elevated levels in the intermediate term. However, we see sharply lower DRAM memory spending in 2011 relative to that seen last year, as most of the purchases tied to next-generation technology tools are now complete. Nevertheless, we expect higher NAND flash memory and logic orders to more than offset the lower anticipated DRAM spending, as unit demand remains robust and customers still need to expand capacity.

CAPACITY UTILIZATION LEVELS TO STAY ELEVATED

Capacity utilization levels for semiconductor manufacturers rebounded sharply over the last 18 months, as companies across the supply chain restocked depleted inventory levels and prepared for anticipated higher demand going forward. Wafer fab capacity utilization was 92.9% (preliminary) in the fourth quarter of 2010 (from 95.0% in the third quarter), compared with 89.4% in the fourth quarter of 2009, according to data from the Semiconductor International Capacity Statistics Organization (SICAS), a worldwide statistics program, which provides data on semiconductor wafer fab capacity and its utilization. (Fab is the informal name for a chip manufacturer’s wafer fabrication plant.) Foundries—fabrication plants that make chips on a contract basis for other companies—saw a more significant rebound: to 97.7% in the fourth quarter of 2010, from 91% in the fourth quarter of 2009, and up from the 50.1% posted in the first quarter of 2009

Chart H12: SEMICONDUCTOR EQUIPMENT DEMAND CYCLE

200

700

1,200

1,700

2,200

2,700

2001 2002 2003 2004 2005 2006 2007 2008 2009 2010 20110.40

0.60

0.80

1.00

1.20

1.40

Book-to-bill ratio (right scale)

Shipments (Mil. $, left scale)

Orders (Mil. $, left scale)

SEMICONDUCTOR EQUIPMENT DEMAND CYCLE

Source: Semiconductor Equipment and Materials International.

Page 5: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 3

during the prior trough. We believe the utilization rate in the first quarter of 2009 was the lowest ever recorded by the semiconductor industry. Standard & Poor’s projects that the overall utilization rate for wafer fab capacity in the first half of 2011 will see a near-term trough at around 90%, but we anticipate utilization rates rising thereafter. Typically, the fourth and first quarters are seasonally slow periods for the chip

industry and, as a result, semiconductor manufacturers will experience a drop in utilization rates.

Utilization rates for leading-edge technology [below 60 nanometers (nm)] were 96.5% in the fourth quarter of 2010, down from 97.2% in the third quarter. (Leading-edge capacity refers to wafer plants operating at the newest technology nodes; i.e., the narrowest circuit linewidths.) A majority of the current leading-edge volume production has been at nodes of 45nm and below for 300-millimeter (mm) wafer size, but is now being eclipsed by 32nm nodes and under for 300mm wafers.

The utilization rate for technology nodes between 60nm and 80nm was 97.1% in the fourth quarter of 2010, slightly above the 97.2% posted in the third quarter. Typically,

high utilization rates imply the need to increase capacity. We expect capacity utilization rates to remain at or above 90% for the industry through 2011. We expect customers to continue adding capacity at an aggressive pace, with any such additions occurring at the more advanced technology levels, in our view.

DRAM capital spending remains muted Although we estimate that the DRAM industry comprised more than 30% of total semiconductor capital expenditures in 2010, we expect this segment to account for only 15% of the overall total in 2011. We believe the biggest growth catalyst for the DRAM industry last year was the transition to DDR3 (double data rate) technology. (DDR3 is a type of DRAM chip found in personal computers.) However, we expect a more muted environment in 2011, given the high spending that occurred in 2010, coupled with a sharp drop in DRAM prices and customer profitability, as well as soft PC sales in recent quarters.

Standard & Poor’s is forecasting that DRAM capital spending will drop 43% in 2011, after more than doubling in 2010. We believe DRAM spending fell in excess of 50% in both 2009 and 2008. According to our data, we anticipate that DRAM spending in 2011 will be about a third of that witnessed in 2007, the all-time spending peak for DRAM. Going forward, we expect the DRAM makers will be more conservative with spending on equipment, as they look to avoid the severe boom-and-bust periods that have occurred in recent years due to massive inventory building. Ultimately, we expect these more cautious spending habits to result in a healthier industry landscape over the long term.

We expect Samsung Corp. to once again be the biggest DRAM spender, and anticipate the company’s total capital expenditures (for all semiconductors) at more than $9 billion both this year and next. We are forecasting that the top three manufacturers (Samsung, Hynix Semiconductor Inc., and Elpida Memory Inc.) will comprise more than 55% of total spending for the segment in 2011. Although we think DRAM spending will remain muted near term, we see related orders strengthening as the year progresses. This is due to our thesis that most chipmakers will have already digested previous purchases and will be looking to transition to more advanced technology nodes. In addition, we expect memory prices to begin rebounding, partly aided by rising PC demand.

In February 2011, Applied Materials Inc. stated that it believed that DRAM prices had bottomed out, a positive sign for an eventual increase in spending. The company expects that PC growth will determine the

Chart H11: WAFER-FAB CAPACITY UTILIZATIO`N

WAFER-FAB CAPACITY UTILIZATION (In percent)

55

60

65

70

75

80

85

90

95

100

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

Total ICs Smallest line-width category tracked by SICA

IC-Integrated circuit. MOS-Metal oxide semiconductor. μm-Micron.Source: Semiconductor International Capacity Statistics.

MOS <0.2μm

MOS <0.16μm

MOS<0.06μm

MOS<0.12μm MOS<0.08μmMOS <0.3μm

Page 6: S&P Semi Conductor

4 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

overall timing of DRAM investment, noting that this remains the biggest swing factor in its semiconductor equipment spending forecast. Although Applied Materials anticipates flash memory investment to approach previous record highs, the company expects DRAM spending to drop to its lowest level in over a decade, as a percentage of overall wafer fab equipment spending. In a March 2011 report, independent research firm IDC estimated a 12% decline in total DRAM memory revenues for 2011, reflecting 53% bit growth and a 43% price decline.

Standard & Poor’s believes that bit growth for DRAM will continue to increase, and we see near-term supply and demand growing at relatively the same pace. We believe the trends likely to develop within the DRAM segment over the next few quarters—stable to steadily rising memory prices, improving profitability of memory customers as the year progresses, and utilization rates that will rebound from current levels as demand begins to rise—will lead to muted equipment spending near term. However, we see potential for a rebound in the second half.

Flash memory spending to rise Unlike DRAM, which relies heavily on PC demand, the NAND flash memory market depends on a number of different applications and looks in better shape than DRAM on a comparative basis. The flash memory industry has emerging technologies such as solid-state drives (SSDs) capable of driving new NAND demand. In a March 2011 report, IDC estimated a 24% increase in total NAND flash memory revenues for 2011, reflecting 88% bit growth and a 34% price decline. Demand for flash memory chips is expected to remain robust, in our view, given the launch of new smartphones, tablet personal computers, and electronic readers.

Standard & Poor’s anticipates NAND investments continuing well into 2011 as smartphones and tablet devices drive demand for flash storage. We believe equipment manufacturers such as KLA-Tencor Corp. are already seeing this trend develop. While memory orders for the company declined in the December 2010 quarter, it expects a recovery in the first half of calendar year 2011, driven by an increase in NAND flash spending. In February 2011, Applied Materials stated that is was currently tracking 18 fabs and, over the next eight to 12 quarters, it estimated that $80 billion of potential capital equipment spending could occur as a result. Of the potential fabs that could come online in 2011 and 2012, we think a majority will likely be memory-related, with the larger share of that coming from flash makers. While we agree that NAND customers are likely to increase capital investments, we expect most manufacturers to add capacity conservatively in an effort to avoid a scenario where a severe oversupply could develop. Nevertheless, we anticipate flash memory providing the greatest growth potential for semiconductor equipment makers in the foreseeable future.

CHIPMAKERS’ CAPITAL EXPENDITURES VARY

For more than 20 years, the semiconductor industry has grown rapidly because of rising demand for personal computers, the expansion of the Internet and the telecommunications industry, and the emergence of new high-technology products for the consumer. Growth has moderated in recent years, however, and there are signs that the industry has matured. In 2009, unit demand for semiconductors fell for the first time since 2001, leading to an overall decline in semiconductor revenues. This created pressure on semiconductor manufacturers to carefully match capacity with demand, which in turn lowered spending on capital equipment. Growth for semiconductors resumed in 2010, resulting in a dramatic increase in spending for semiconductor equipment. We see this upward trend continuing in 2011, albeit at a slower growth pace.

Semiconductor equipment spending can be primarily broken down into three types of customers: memory, logic, and foundries. The following discussion addresses each of these markets.

Memory equipment: mixed picture Historically, memory customers have accounted for the largest percentage of equipment spending—as much as 70%–80% of total wafer equipment sales, according to our estimates. However, we expect memory spending to comprise only 36% of overall revenues in 2011. We believe memory customers more than doubled total capital expenditures in 2010, mainly driven by DRAM companies transitioning to DDR3 technology. However, with DDR3 now mainstream, we see spending in this area declining sharply in 2011.

Page 7: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 5

We currently forecast DRAM capital expenditures to drop more than 40% this year, with the spending to be focused only on new process technology development.

Despite our low expectations for the DRAM industry, demand for flash memory equipment is anticipated to see more substantial growth, given the launch of new smartphones, tablet devices, and electronic readers. Of the potential manufacturing plants that could come online, Standard & Poor’s sees a large share of that coming from flash makers. We estimate flash memory capital expenditures to rise 34% in 2011 and be a major growth driver for the industry over the next several years. Given our robust growth forecast for flash memory spending, we see this area surpassing DRAM in 2011 for the first time ever.

In recent years, Samsung Corp. has been the largest spender on memory equipment, followed by peers such as Hynix Semiconductor, Toshiba, Elpida Memory, and Micron Technology Inc. We estimate that these five manufacturers will account for more than 80% of total memory capital spending and over 30% of total equipment sales in 2011.

In February 2011, Applied Materials Inc. reported that 23% of its total orders from the semiconductor equipment segment in the first quarter of its fiscal 2011 (ending October 2011) came from memory-related customers (13% DRAM and 10% flash memory). However, DRAM-related orders actually dropped 37% sequentially, while flash memory orders rose 7%. Thus, it appears that DRAM capital spending is already slowing, while flash memory makers are looking to steadily ramp up capacity.

Logic equipment: Intel leads the way Standard & Poor’s anticipates a 62% increase in logic equipment spending this year, well above growth trends of the memory and foundry segments. Capital investment from this segment is concentrated on one large North American customer: Intel Corp. We expect the company to raise planned capital expenditures to $9.0 billion in 2011, up 73% from $5.2 billion in 2010.

Although we believe Intel alone accounted for only about 14% of total wafer equipment spending in 2010, we project that the company will represent about 20% of wafer equipment spending this year. Historically, Intel has had much more stable spending practices than its peers have. However, we think that the primary reasons for the higher planned expenditures is to move from a three to four fab manufacturing base and to ramp up production of its 22nm technology by the end of the year. We believe Intel will continue to use Moore’s Law as a roadmap—moving to more advanced technology every few years, regardless of the economic landscape—as it has the scale and financial ability to do so. We expect Intel to sustain its high level of capital equipment spending.

Foundry equipment: big 2011 spenders Foundries are companies that serve chipmakers looking to outsource their manufacturing operations. Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) is the largest participant in this highly concentrated segment. Other foundries include GlobalFoundries Inc., United Microelectronics Corp. (UMC), and Semiconductor Manufacturing International Corp. (SMI). Samsung recently entered the field and plans to aggressively ramp up capacity over the next several years. While these companies significantly cut their capital spending plans during the economic downturn and employed a number of cost-cutting efforts to preserve cash, foundries began to witness an abrupt pickup in business in mid-2009. We believe that companies within this category are likely to be the biggest spenders amid the current cyclical upturn, as orders remain strong and as more chip manufacturers continue to progress toward a fabless model.

We estimate that foundry manufacturers will raise spending plans by nearly 50% this year, after more than doubling their spending in 2010. However, we remain cautious about potential excess capacity in 2012. We expect most of the spending to be concentrated on more capacity expansion at advanced technology nodes, with foundry powerhouse TSMC leading the way. We expect TSMC to increase capital expenditures to $7.8 billion in 2011, from $5.9 billion in 2010. GlobalFoundries—formed in 2009 as a merger of the manufacturing capabilities of Advanced Micro Devices Inc. and foundry Chartered Semiconductor Manufacturing Ltd.—is planning to spend $5.4 billion (versus $2.6 billion in 2010) to increase its 300mm wafer manufacturing capacity. We expect GlobalFoundries to rank second among foundry customers in 2011 in terms of capital expenditures.

Page 8: S&P Semi Conductor

6 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

SPENDING FOR SOLAR EQUIPMENT REMAINS ROBUST

The semiconductor equipment industry is creating its own opportunities in the growing solar energy market by applying chip equipment technology to the production of solar cells and panels. Traditionally, solar product manufacturers have developed or modified their own manufacturing equipment, but as technology grows more complex, they will turn to companies such as Applied Materials to produce this equipment. Although we forecast supply to exceed demand next year, solar manufacturers continue to increase capacity and production of solar cells, we think, to help sustain market share. We think that recent announcements by China-based solar manufacturers to expand capacity ahead of anticipated higher demand will result in higher capital equipment spending plans.

In recent quarters, the solar industry has witnessed a robust pace of capital spending, leading many to believe that a period of oversupply would soon develop. So far, a greater-than-expected demand for solar systems has prevented an oversupply situation from developing. The risk has intensified recently, however, due to the unpredictable nature of government incentives in Europe, particularly regarding the question of how Italy plans to revise its existing incentives.

In late February 2011, Applied Materials, the largest solar and semiconductor capital equipment manufacturer, stated that the solar market had over six gigawatts (GW) of photovoltaic (PV) modules installed during the fourth quarter of calendar 2010. The company estimated the total for the calendar year at 17 GW. The company believes this robust growth will continue in 2011, and it anticipates panel installations to be in the range of 20 GW–25 GW for the year, which would represent a 32% increase using the mid-point. Standard & Poor’s Equity Research is a bit more conservative with its forecast, anticipating growth of about 20% for the year.

Typically, as the industry scales, crystalline silicon module prices continue to fall, with spot prices falling to as low as $1.50 per watt in the fourth calendar quarter, according to Applied Materials. It appears that the company’s top customers are profitable and are running factories at full utilization levels. This has caused those manufacturers to increase capacity. Applied Materials is now projecting crystalline silicon capital spending for calendar 2011 in the range of $7 billion–$9 billion, an increase of about 30% from 2010.

Applied Materials believes that 90% of the new capacity being added in calendar 2011 will come from China and Taiwan. Our projections agree with this assessment. We believe the major reason solar manufacturers in these regions continue to increase capacity and production of solar cells is to help gain market share and keep up with demand. Overall, we think that recent announcements by China-based solar manufacturers to expand capacity ahead of anticipated higher demand will result in higher capital equipment spending plans for Applied Materials. If for some reason demand abruptly came to a halt, we could envision an environment whereby these manufacturers would temper planned spending plans. However, it is also important to note that, in our view, the solar industry is a highly elastic market, wherein a 10%–15% reduction in prices by panel makers often results in a significant increase in installations.

RAW MATERIAL SUPPLY CONSTRAINTS IN SEMICONDUCTORS AND SOLAR

Japan is an extremely important area for the semiconductor supply chain, and recent turmoil in that nation has had a major impact on silicon supply. With silicon being the key raw material used to make both semiconductors and solar panels, the lower supply levels are likely to affect players in the solar industry.

Pricing could benefit from limited supply We believe orders and pricing for silicon have benefited over the last year from robust end demand in both the semiconductor and solar industries. Demand has grown more sharply than supply in these markets. Although we had recently believed that pricing would resume its downward trend in 2011, recent problems in Japan could keep prices at current levels in the near and intermediate term. Following the earthquake- and tsunami-related nuclear power plant explosions in March, Japan’s government implemented a power brownout policy, which forced many of the polycrystalline silicon and silicon wafer makers in the region to stop production altogether or to continue at sharply lower levels.

Page 9: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 7

While we believe that most of the existing silicon capacity in Japan supplies the chip industry, solar wafer producers should also benefit, given that there is expected to be less overall supply available for the combined industries. We believe nearly 60% of the total silicon supply to the semiconductor industry is located in the Japan region. With supply having already been constrained, pricing is likely to be supported over the coming weeks (and possibly months), as long as demand remains healthy, in our view. We believe that many of the semiconductor and solar wafer manufacturers, like MEMC Electronic Materials Inc., were already running at elevated utilization rates at their factories. We expect that customers, which had significant supply agreements with Japan-based manufacturers, will now have to look to place orders with US- and Europe-based producers, and probably at higher prices.

Manufacturers look to increase capacity Despite the near-term supply constraints, we think large manufacturers continue to aggressively expand capacity, which should result in lower selling prices over time. For example, in February, MEMC Electronic Materials entered into a joint venture agreement with Samsung Fine Chemicals Co. Ltd. to produce high-purity polysilicon in Ulsan, South Korea. Through an equal ownership interest between the two parties, the joint venture will build and operate a new facility on an existing Samsung Fine Chemicals property in Ulsan. The facility is expected to begin production in 2013 and to have annual capacity of 10,000 metric tons.

Wacker Chemie AG is expanding its production facilities for polysilicon at its two sites in Germany. The company is taking action to increase annual capacity at these sites by 10,000 metric tons (5,000 at each location). Wacker Chemie is also building a new polysilicon production facility in the US, with an annual capacity of 15,000 metric tons, to be completed by the end of 2013. In total, the company expects to have polysilicon production capacity of 67,000 metric tons by 2014.

LDK Solar Co. Ltd., a China-based solar wafer producer, has been aggressively expanding capacity and vertically integrating over the last year. The company had annual wafer capacity of about three GW at the end of 2010, and plans to increase this by 33% by the end of 2011. More importantly, LDK has been increasing its polysilicon capacity, which should result in considerable cost savings. By the end of this year, we expect the company will have 25,000 metric tons of polysilicon capacity with a production cost/kg of $30 to $32 (versus $40 currently), based on our estimates.

Japan woes to impact chip and solar demand near term While we believe the constrained supply will be a major beneficiary to silicon producers, there are also concerns to take into account. These include the fact that Japan makes up more than 15% of total demand for semiconductors, which now could see lower-than-expected orders to this region in the immediate future. In the solar universe, we were projecting Japan to be a bigger portion of the overall revenue pie for the industry. The region was looking to be even more important to demand in 2011, in our view, given concerns about government subsidy reductions slowing demand in other regions of the world. While this thesis may take longer to play out, given the circumstances, we would expect Japan to favor solar-related projects during the rebuilding process and, ultimately, to support the industry.

FLAT-PANEL DISPLAY SPENDING NEAR TROUGH

According to DisplaySearch, a display supply-chain market researcher, global shipments of large-area thin film transistor liquid crystal display (TFT-LCD) panels reached a record high of 665 million units during 2010, marking year-over-year growth of 26%. DisplaySearch estimates that LG Display Co. Ltd. led 2010 shipments with a 25.9% unit share, followed by Samsung Electronics (22.9%) and AU Optronics Corp. (16.8%). We believe applications such as tablet and notebook computers, mobile applications, and flat-panel TVs will likely drive long-term demand.

The flat-panel display equipment industry has historically been highly cyclical due to abrupt changes in customers’ manufacturing capacity requirements and spending, which reflect capacity utilization, demand for customers’ products, and inventory levels relative to demand. Following what we viewed as a relatively tight supply/demand environment at the start of 2010, we think rising supply caught up with demand once again in the second half of 2010. Although spending is likely to remain weak in the first half of 2011, we forecast orders beginning to ramp up by mid-year.

Page 10: S&P Semi Conductor

8 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

During a February 2011 conference call, Applied Materials stated that it expects display equipment spending to decline by approximately 30% in calendar 2011, to around $9 billion. Despite the lower capital spending plans this year, we believe that orders are near a trough on a sequential basis, and see improvement as the year progresses, albeit from very low levels. In addition, Applied Materials believes that this traditional downturn in liquid crystal display (LCD) investment is being partially offset by momentum in new technologies, as customers add capacity for touch panels and high-performance LCD and organic light-emitting diode (OLED) displays used in tablets and smartphones.

POSITVE OUTLOOK FOR SEMICONDUCTOR EQUIPMENT

As of May 2011, Standard & Poor’s fundamental outlook for the semiconductor equipment industry was positive. Standard & Poor’s Equity Research projects robust growth for the industry in 2011, based on several developments: rising capacity expansion plans and elevated capacity utilization levels at chipmakers, and increasing demand for semiconductors, driven in part by the emergence of new consumer products. We forecast that semiconductor equipment orders will remain healthy in the foreseeable future, as we anticipate rising demand from leading-edge customers, such as Intel and Taiwan Semiconductor, which plan to sharply increase capital spending plans.

Despite industry sales more than doubling in 2010, according to our analysis, we project an additional 14% increase in 2011. In our view, this reflects improving end-market demand for next-generation consumer products. We see this trend resulting in the addition of capacity by and greater demand from semiconductor manufacturers. We think customers are placing orders primarily for capacity additions and specifically using advanced machinery at lower technology nodes. While we are encouraged by recent data, we note that should end-market demand begin to slow substantially, these trends may not be sustainable.

Although we view capital expenditure spending increases by large memory customers as encouraging, we remain cautious of uncertain end-market PC demand. However, we do not see significant inventory build across the supply chain, as demand for electronic devices remains healthy. We think utilization rates at some customers are above the 90% level that many consider a signal that semiconductor companies will increase equipment spending. We believe the biggest growth catalysts for the industry are an increase in foundry, logic, and flash memory spending. We also see increased expansion into the higher-growth solar market offering significant growth opportunities for equipment makers over the long term.

Chart H02: TFT LCD* MANUFACTURING EQUIPMENT MARKET

TFT LCD* MANUFACTURING EQUIPMENT MARKET

0

2

4

6

8

10

12

14

16

2000 2002 2004 2006 2008 2010 2012(75)

(50)

(25)

0

25

50

75

100

125

Revenues (left scale) % Growth (right scale)

*Thin-film transistor liquid crystal display.Source: Displaysearch.

(Billions of dollars) (%)

Page 11: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 9

INDUSTRY PROFILE

Changeable industry lacking long-term growth

Despite its reputation for volatility, the semiconductor equipment industry has expanded overall in the past couple of decades. In 1992, worldwide sales were $7.5 billion; growth in subsequent years led to a record high of $47.7 billion in 2000, according to trade association Semiconductor Equipment and Materials International (SEMI). In the dismal market of 2001, global sales took a nosedive, falling 41% to $28.0 billion, followed by an additional 30% decline to $19.7 billion in 2002. By 2007, the research firm reported that worldwide sales of semiconductor manufacturing equipment totaled $42.77 billion, up 5.7% from $40.47 billion in 2006. Although the semiconductor equipment industry experienced substantial growth in 2006, an excess in capacity of memory chips and signs of a slowing global economy by the middle of 2007 resulted in drastic equipment spending reduction plans from semiconductor makers.

In 2008, SEMI reported that worldwide sales of semiconductor manufacturing equipment totaled $29.52 billion, representing a year-over-year decline of 31%. The downturn continued in 2009, with sales falling an additional 46% to $15.92 billion. Industry conditions sharply rebounded in 2010, with total sales reaching $39.54 billion, representing an increase of 148%. The geographic regions that saw the biggest jump in 2010 were China and South Korea, rising 286% and 220%, respectively. Taiwan, for the second consecutive year, was the region with the highest amount of spending, with $11.19 billion in equipment sales. The global wafer processing equipment market segment increased 149%, assembly and packaging rose 176%, test equipment sales surged 167%, and other front-end equipment sales rebounded 78%.

On a geographic basis, the five largest spenders on semiconductor equipment in 2010 were Taiwan (28% of capital equipment spending), South Korea (21%), North America (15%), Japan (11%), and China (9%).

INDUSTRY LEADERS CONTINUE TO DOMINATE

The top 10 semiconductor equipment makers recorded combined 2010 revenues of $30.9 billion, an increase of 125% from the previous year, reports industry research firm VLSI Research Inc. The top suppliers rose more than the total integrated circuit (IC) manufacturing equipment industry.

The top 10 suppliers continued to represent the core of the industry, in our view. Within this group, seven are wafer processing suppliers, two supply test equipment, and one supplies both wafer processing and assembly equipment. VLSI’s sales analysis includes sales of systems used to manufacture semiconductors,

thin-film heads, micro-electromechanical systems (MEMS), as well as service, support, and refurbished systems.

In 2010, the market share of the top 10 suppliers jumped to 63.2% versus 56.6% in the prior year. Manufacturers with a great deal of exposure to the memory market like ASML Holding NV and Lam Research were beneficiaries. The most notable company dropping out of the top 10 was Nikon Corp. (which ranked fourth in 2009), despite the robust growth that was witnessed in the lithography segment. Of the top 10 equipment makers, only two failed to more than double sales last year, namely KLA-Tencor Corp. (83.7% growth) and Dainippon Screen Manufacturing (94.7%).

Table B04: LEADING SEMICONDUCTOR EQUIPMENT MANUFACTURERS

LEADING SEMICONDUCTOR EQUIPMENT MANUFACTURERS(Ranked by 2010 sales)

SALES

----- (MIL. $) -----

COMPANY COUNTRY 2009 2010

1. Applied Materials US 3,508 7,2842. ASML Holding NV Netherlands 2,268 5,9733. Tokyo Electron Japan 2,324 5,2364. Lam Research US 1,198 3,0055. KLA-Tencor US 1,316 2,4186. Dainippon Screen Mfg. Japan 887 1,7277. Teradyne US 552 1,4138. ASM International Netherlands 694 1,3889. Novellus Systems US 582 1,317

10. Advantest US 430 1,134Total Top 10 revenues 10,251 30,895

Source: VLSI Research.

Page 12: S&P Semi Conductor

10 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Commanding a large lead over its competitors, Applied Materials Inc. remained the top producer of semiconductor equipment in 2010, given its strength in deposition, chemical mechanical planarization (CMP), and etch systems. ASML Holding NV reclaimed its No. 2 position, surpassing Japan-based Tokyo Electron Inc., which slipped to the No. 3 spot. Lam Research jumped two spots to No. 4, while KLA-Tencor Corp. remained in the No. 5 position.

Dainippon Screen rose one notch to No. 6, while Teradyne bumped up three spots to No. 7. ASM International and Novellus Systems both held on to the No. 8 and No. 9 positions, respectively. Rounding out the top 10 was Advantest Corp., a back-end test provider that saw its ranking rise two spots. Following are profiles of the top 10 semiconductor equipment manufacturers of 2010, ranked by revenue.

Applied Materials. Industry leader Applied Materials provides the most extensive range of wafer fabrication products, including systems for deposition and etch, ion implantation, CMP, defect inspection, photomask patterning, and flat-panel display deposition. The company has made four acquisitions since 2006 to become a major participant in the solar equipment market.

ASML Holding. Netherlands-based ASML Holding has held the No. 1 position in photolithography systems (or “steppers”) since 2002. (Photolithography is used to print complex circuit patterns onto silicon wafers, a primary material in chip production.) The company believes its share of the lithography market was 85% in 2010 (based on net sales), with Japan-based Nikon Corp. and Canon Inc. as its primary competitors.

Tokyo Electron. This Japanese company manufactures a broad line of wafer processing equipment, including coaters/developers, etch equipment, thermal processing systems, deposition systems, surface preparation systems, test systems, and metrology software. Tokyo Electron also supplies flat-panel display production equipment, which is used to manufacture displays for personal computers, LCD televisions, and other electronic devices, to panel manufacturers.

Lam Research. US-based Lam Research is the world’s largest maker of etch products, which are used to selectively etch away parts of films to create an integrated circuit. Its etch systems delineate linewidths and other features that define the function of integrated circuits. The company is also a leading provider of wet clean machinery.

KLA-Tencor. This company is the leading supplier of yield management and process monitoring systems to the semiconductor industry worldwide. Its products help chipmakers find defects or process problems and improve productivity. Products include defect inspection, review, and analysis systems; metrology systems; and lithography, simulation, and analysis systems. KLA-Tencor is benefiting from chip manufacturing challenges, such as smaller linewidths, larger wafer sizes, and more complex circuitry, which have led to a greater need for sophisticated management of production processes.

Dainippon Screen Manufacturing. This Japan-based firm (known familiarly as Screen) manufactures lithography process equipment for cleaning chip wafers, coating them with chemicals, and etching circuit details into the wafers. Its primary areas of business include semiconductor equipment, flat-panel display equipment, and printing hardware and software for the graphic arts and publishing industries.

Teradyne Inc. This US-based company is the largest manufacturer of automatic test equipment and is a market-share leader in the system-on-chip (SOC) back-end test equipment market. In recent years, it has expanded into the memory market (both DRAM and NAND flash), as well as in the high disk drive (HDD) test market. The company also has tools used in certain industrial markets and the flat-panel display industry.

ASM International NV. This Netherlands-based company designs and manufactures equipment used by both the front-end and back-end segments of the semiconductor manufacturing industry. ASM’s products in the front-end market segment primarily relate to deposition and are used by customers to grow or deposit thin films onto wafers using a process called chemical vapor deposition. The back-end business is conducted through the majority-owned ASM Pacific Technology Ltd. subsidiary.

Page 13: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 11

Novellus Systems Inc. This US-based company is the second largest manufacturer of deposition equipment used to deposit conductive and insulating layers on chip wafers to create integrated circuits. In 2001, Novellus Systems expanded its business by acquiring GaSonics International Corp., a manufacturer of systems used to clean and prepare wafer surfaces. Surface preparation products have become increasingly important as the industry migrates from aluminum to copper interconnects (which are used to link transistors).

Advantest Corp. This Japan-based company designs and manufactures test systems for both memory and non-memory semiconductors, such as test systems system-on-chip (SOC) producers, liquid crystal display (LCD) drivers, and semiconductors used in car electronics. In March 2011, Advantest announced plans to acquire Verigy Ltd., another back-end test equipment provider.

INDUSTRY TRENDS

Semiconductors are growing smaller, faster, and more complex. Chip equipment makers play a key role in making these advances possible. This section presents the major business trends and technological advances that are shaping this industry.

NEXT-GENERATION TECHNOLOGY DRIVES SEMICONDUCTOR EQUIPMENT SALES…

The semiconductor equipment industry has a reputation of having extremely volatile sales, among other metrics. While the industry saw substantial sales growth in the late 1990s and in the earlier part of this century, we think sales for the industry have likely peaked and are currently undergoing a secular decline. Although we will continue to see boom-and-bust periods for the semiconductor equipment industry in the future, we believe semiconductor capital equipment sales will trend upward longer-term (from peak to peak) as technological advances become more costly at smaller linewidths. Although we previously were calling for a

long-term secular decline for the semiconductor equipment industry, we now anticipate challenges at lower technology nodes to result in higher revenue potential in the future.

…as linewidths continue to shrink As part of the industry obsession with shrinking semiconductor size, chips are being manufactured in increasingly smaller linewidths (i.e., the physical dimensions of the smallest features in a circuit pattern). According to Moore’s Law, the number of transistors per chip doubles approximately every two years—with the boost in density made possible largely by smaller linewidths. Since smaller linewidths require increasingly sophisticated equipment that sells at higher prices, the linewidth shifts are considered mostly positive for the equipment industry, though the benefits are mitigated somewhat by

higher production rates for chips at smaller linewidths, as well as increased R&D costs for equipment makers.

Leading chipmakers are making the transition from 45nm to 32nm and below. In addition to reducing linewidths, semiconductor manufacturers are constantly developing new conceptual designs and prototypes. Over the last 12 months, Intel, Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC), and others announced many new designs and processes. As production ramps up for these new products in the future, demand for new semiconductor equipment should rise.

Intel. Most of Intel’s microprocessors are manufactured using either its 45nm or its second-generation 32nm high-k metal gate silicon process technology, which are the first to use high-k metal gate transistors

Chart H16: WORLDWIDE SEMICONDUCTOR EQUIPMENT SALES AS A % OF SEMICONDUCTOR SALES

14.0

16.7

11.9

7.0

13.3

23.3

17.420.2

16.3

13.3 14.5

0

5

10

15

20

25

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010

WORLDWIDE SEMICONDUCTOR EQUIPMENT SALES AS A PERCENTAGE OF SEMICONDUCTOR SALES

Sources: Semiconductor Equipment and Materials International; Semiconductor Industry Association.

Page 14: S&P Semi Conductor

12 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

that increase performance while simultaneously reducing the leakage of current. A substantial majority of Intel’s microprocessors were manufactured on 300mm wafers using its 32nm process technology as of the end of December 2010. In the second half of 2011, the company will begin manufacturing microprocessors using its 22nm process technology.

TSMC. TSMC is spending on more advanced equipment to ramp up its 28nm process technology and add capacity in 2011. In addition, we believe that TSMC is scheduled to enter trial production on its 22nm process in 2012, advancing to the lower technology node in 2013. In addition, we expect TSMC to begin trial production on 18-inch (450mm) wafers between 2013 and 2014, followed by volume production in 2015–16.

Others. Over the last 12 months, Samsung, Hynix Semiconductor, Elpida, and Micron have all migrated to 50nm (or below) technology and production, as DDR3 technology became the mainstream DRAM specification. In the second half of 2010, Micron manufactured its DRAM products using 50nm linewidth process technology; the company is transitioning DRAM production to a 42nm linewidth process technology this year. In 2010, Micron began transitioning production of NAND flash memory products to 25nm linewidth process technology and expects that most of its NAND flash products will be manufactured with this process technology in 2011.

While we will continue to see boom-and-bust periods for the semiconductor equipment industry in the future, we anticipate an upward slope in peak sales in future cycles. We think this will be a result of the transition to smaller linewidths, which will require more advanced and expensive equipment to produce a chip.

SEMICONDUCTOR EQUIPMENT MANUFACTURERS LOOK TO SOLAR FOR GROWTH

We see, as a longer-term trend, the expansion by semiconductor equipment manufacturers into the solar industry. We believe this makes sense because of the similar processes and technology used within both industries. In addition, the solar industry has higher growth opportunities compared with the more mature semiconductor industry. We believe companies, both small and large, will be looking to enter this area, whether organically or through merger and acquisition (M&A) activity.

In this field, Applied Materials Inc. leads the way. The company’s Energy and Environmental Solutions (EES) group, which consists primarily of solar products, has evolved through four acquisitions since 2006 (Applied Films Corp., HCT Shaping Systems SA, Baccini SpA, and Advent Solar Inc.). Applied entered the solar photovoltaic (PV) market in 2006 and announced its objective to lower the overall cost per watt of solar electricity to parity that of electricity generated by other sources, such as the burning of fossil fuels. (Photovoltaic is a method for creating solar power by using solar cells contained in photovoltaic modules.)

Applied provides manufacturing solutions for both wafer-based crystalline silicon (c-Si) and glass-based thin film applications to enable customers to increase the conversion efficiency and yields of PV devices. Products include large-area platforms, such as the ATON in-line sputtering system for high-quality deposition and high-throughput in cell manufacturing, as well as processes, materials-handling technologies, and fabrication services. (Deposition is the process by which a layer of electrically insulating or conductive material is deposited on the surface of a wafer.)

During the fourth quarter of its fiscal 2007 (ended October 31, 2007), Applied launched the SunFab Thin Film Line; at the time, it was the world’s only integrated production line for manufacturing thin film silicon solar modules using 5.7 square meter (m2) glass substrates. These ultra-large panels (four times larger than any thin-film solar panel offered by competitors) were intended for large-scale applications such as solar farms and building-integrated PV system installations. However, in July 2010, the company announced plans to discontinue sales of its SunFab Thin Film Line to new customers, citing adverse market conditions.

During fiscal 2007, Applied expanded its capabilities and opportunities in the c-Si technology sector through its acquisition of HCT Shaping Systems, the world’s leading supplier of precision wafering systems used to make c-Si substrates. These systems reduce silicon consumption and cost by sectioning silicon ingots into ultra-thin wafers used to fabricate c-Si solar cells. In early fiscal 2008, Applied completed its acquisition of Baccini, which supplies the automated metallization, edge insulation, inspection and test, and integrated

Page 15: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 13

handling systems required for the back-end manufacturing of the c-Si photovoltaic cells. In November 2009, Applied acquired substantially all of the assets of Advent Solar, a developer of advanced technology for crystalline silicon photovoltaics, for an undisclosed cash amount.

Other semiconductor equipment manufacturers have also begun to follow Applied Materials, expanding their presence in the alternative energy industry. For instance, MKS Instruments Inc. manufactures component products used in crystalline silicon and emerging thin film processes to manufacture photovoltaic cells. Advanced Energy Industries Inc. is another example of an equipment supplier increasing its exposure to the solar market. Part of the company’s business includes selling solar inverters, which convert the DC power produced by the solar panels into AC power for consumption on-site or for sale through the public utility grid. Both companies are also major suppliers to Applied Materials.

MEMC Electronic Materials Inc., a global leader in the manufacturing of semiconductor silicon wafers, has also become a major participant in the solar industry. The company entered the solar industry in 2006 and now derives more than 50% of its sales from solar customers. In November 2009, the company acquired privately held SunEdison LLC, a developer of solar power projects and North America’s largest solar energy services provider, for $200 million.

CORPORATE STRATEGIES SPUR DEALS

Corporate strategies have driven consolidation, divestitures, and partnering in the semiconductor equipment industry for many years. These moves have helped companies to focus on core strengths and to share resources in order to remain competitive.

We expect semiconductor equipment manufacturers to experience consolidation in the foreseeable future due to declining revenue growth and pricing pressure throughout the industry. After many years of speculation regarding consolidation in this industry, we expect companies to become more receptive to mergers and acquisitions, partnerships, and collaborations that help them to expand market share and boost profitability. The primary catalysts for recent announcements, in our view, have been the desire to boost market share in their respective markets, grow through mergers and acquisitions during a period of slow organic growth, and enter into new markets with higher growth opportunities.

Back-end equipment manufacturers consolidating We expect the back-end equipment industry (packaging and automatic test equipment) to experience more pressure to consolidate over the next several years than the front-end (materials preparation) due to the segment’s higher concentration of small participants and lower growth rates. Major issues for test equipment companies are competitive pressures, declining margins, high research and development costs, and a shift to lower-cost testers. High fixed costs heighten pricing competition in softer environments.

We believe further consolidation of test equipment companies would facilitate cost savings through economies of scale and more effective factory utilization. Two of the bigger back-end players, Verigy Ltd. (a spin-off from measurement company Agilent Technologies Inc. in 2006) and Teradyne Inc. have made acquisitions in this segment.

Verigy. In November 2010, Verigy agreed to acquire semiconductor test-equipment manufacturer LTX-Credence Corp. in an all-stock transaction, whereby LTX-Credence shareholders would receive 0.96 of a share of Verigy stock for each of their approximately 50 million LTX-Credence shares. However, in early December 2010, Verigy received an unsolicited offer from Advantest Corp. to be acquired for $12.15 per share in cash (revised to $15 shortly thereafter). In March 2011, Verigy’s board of directors unanimously determined that the proposal from Advantest was superior to its merger agreement with LTX-Credence, and terminated the latter agreement.

In June 2009, Verigy acquired Touchdown Technologies Inc., a developer, manufacturer, and seller of advanced MEMS (micro electro-mechanical switch)-based probe cards used in wafer-sort testing of memory devices. (Probe cards are used in conjunction with memory testers, and establish the final physical and electrical connection between the tester and the wafer being tested.) In January 2008, Verigy completed its

Page 16: S&P Semi Conductor

14 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

acquisition of privately held Inovys Corp., which provides solutions for design debug, failure analysis, and yield acceleration for complex semiconductor devices and processes.

Teradyne. In January 2008, Teradyne acquired Nextest Systems Corp., a low-cost provider in the design and manufacture of automatic test equipment for flash memory and system-on-chip semiconductors. We believe this acquisition brings Teradyne into the higher-growth flash memory test market. In November 2008, Teradyne acquired Eagle Test Systems Inc., which designs, manufactures, and sells systems used to test analog, mixed-signal, and radio frequency (RF) semiconductor devices. In our view, Eagle Test Systems’ power management and analog test applications complement many of Teradyne’s system-on-chip test products. We believe the company utilized cash in a meaningful way through opportunistic acquisitions amid the economic downturn, which we think better positions Teradyne amid the current industry upturn.

Advanced Energy acquires PV Powered, divests low-growth business In May 2010, Advanced Energy Industries Inc. acquired privately held PV Powered Inc., a manufacturer of solar inverters, for $50 million ($35 million in cash and $15 million in stock). In addition, there was an earn-out of $40 million based on 2010 financial results. We think the deal complements Advanced Energy’s existing exposure to the inverter market and nearly doubles its potential 2013 total available market. On October 15, 2010, the company divested its Aera mass flow control and related product lines to Hitachi Metals Ltd. We believe these two transactions will allow Advanced Energy to concentrate on the power conversion business and expand into adjacent markets with high growth potential.

Applied Materials acquires Semitool In November 2009, Applied Materials announced the acquisition of Semitool Inc., a supplier of electrochemical plating and wafer surface preparation equipment, for $364 million in cash. We think the timing of this acquisition by Applied Materials, the largest manufacturer among its peers, illustrated the company’s confidence in the start of a new semiconductor equipment upturn. We believe the deal will give the company greater exposure to high-growth areas such as advanced packaging and new products related to the memory industry’s conversion to copper.

TRENDS IN TECHNOLOGY

The semiconductor equipment industry has been instrumental in advancing chipmaking technology and materials. To stay current, chipmakers must periodically upgrade their process equipment. The present cycle is unusual in that several major process changes are occurring more or less simultaneously. The shift to 300mm wafer sizes is spurring the increasing use of automation. A transition from aluminum to copper interconnects has a number of important implications for chip equipment makers.

Transition to larger wafers Chipmakers have historically moved to larger wafer sizes once every seven to eight years. With 300mm technology having become mainstream, there has been discussion in the industry about the use of 450mm wafers. According to the International SEMATECH Manufacturing Initiative (ISMI), a global alliance of the world’s major semiconductor manufacturers, in order to maintain the Moore’s Law productivity curve, the semiconductor industry needs to achieve 30% cost reduction and 50% cycle time improvement in manufacturing by 2012; in ISMI’s opinion, this would only be possible through the migration to 450mm. While the cost savings during production can be significant, many manufacturers may have difficulty with the initial large investment required to start up a 450mm manufacturing plant.

The International Technology Roadmap for Semiconductors (ITRS) provides assessments of the semiconductor industry’s technology requirements. According to the most recent ITRS (2009; the next edition will be released in late 2011), the most prestigious chip manufacturers—Intel, Samsung, and Taiwan Semiconductor Manufacturing Co.—plan to work together with suppliers, other semiconductor players, and the ISMI to develop 450mm, with the goal of a test manufacturing line in 2012. Full production could occur two to three years after that.

A 300mm plant costs approximately $4 billion, and one could assume that the minimal cost of a 450mm facility will be much larger, putting it beyond the reach of many companies. Although the ISMI concluded

Page 17: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 15

that 2012 is the right time for the industry as a whole to transition to 450mm, decisions of individual companies may differ, given the potential cost of producing a 450mm plant. As a result, this could bring into question the potential growth and size of the market, which could lead to some equipment manufacturers delaying their investment in the development of the new-generation technology.

The ability of chip manufacturers to ramp up production of 450mm facilities will depend not only on the mastering of all technical issues associated with this transition to a new diameter, but also on the preparedness of the entire supply chain. This includes semiconductor equipment manufacturers, which may need to spend heavily on research and development to create the prototype tools. The readiness of wafer manufacturers is also unclear.

The ITRS expects manufacturing tools to be available between 2012 and 2014 for initial manufacturing lines, with possible production ramping up from 2014–16 and beyond, subject to 450mm wafer high-volume availability at that time. The ITRS further estimates that wafer diameter should not be tied to technology generations because leading-edge technologies will, for a limited period, be running both in 300mm and 450mm technologies, as happened with the 300mm wafer generation ramp-up on two succeeding technology cycles (180nm–130nm) in the 2001–03 timeframe.

Lithography to lead the way to lower technology nodes Lithography equipment is used to print complex circuit patterns onto silicon wafers, which are the primary raw materials for integrated circuits. The printing process is one of the most critical and expensive steps in wafer fabrication. Lithography equipment is, therefore, a significant focus of the integrated circuit (IC) industry’s demand for cost-efficient enhancements to production technology. The costs to develop new lithography equipment are high. The lithography equipment industry is characterized by the presence of only a few participants such as ASML Holding NV, Nikon, and Canon.

A lithography tool projects light from the light source through an image of the circuitry pattern on a photomask or reticle. The image of the circuitry is transferred by the light being projected through a reduction lens onto a small portion of the surface of the silicon wafer. Depending on the kind of chip being made, a total of 30 to 50 layers are patterned precisely over the first to complete the circuit fabrication, at which time the wafer is fully processed.

The ability to pattern smaller circuits depends, to a great degree, on the wavelength of the light used in the photolithography process. A shorter wavelength of light can pattern circuitry with smaller critical dimensions, which in turn allows the transistors that serve as circuit switches to be smaller and the resulting chips to provide higher levels of functionality. The short wavelength of deep ultraviolet (DUV) light enables the required resolution, depth of focus and critical dimensions control required to pattern semiconductor circuits. The light from these DUV sources is generated by mixing gases inside a discharge chamber within the light source system.

It is becoming increasingly more difficult to extend optical lithography. The newest flash devices are currently being manufactured using double patterning as a way of extending the half-pitch. This approach will be pushed harder as chip manufacturers begin to test the limits at the 22nm node. However, it is at this point that alternative next-generation lithography must be introduced into manufacturing to ensure a smooth transition as the lithography extends beyond 22nm.

Extreme ultraviolet (EUV) lithography is expected to be the next critical dimension imaging solution after immersion lithography and double patterning extensions because of its lower cost of ownership. The availability of a high power source for 13.5nm radiation is one of the technologies requiring significant developments to enable the realization of EUV lithography. Other technologies that are needed to enable EUV photolithography include photoresist and mask. Photoresist performance parameters needing the greatest amount of development include sensitivity or speed, line-edge-roughness, and line-width-roughness. Photoresist sensitivity and scanner optical transmission are the basis to derive EUV source power requirements within a usable bandwidth.

Page 18: S&P Semi Conductor

16 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Move to copper from aluminum creates opportunities The industry continues to be revolutionized by the transformation from aluminum wiring to copper wiring in advanced semiconductor chips. Copper wiring requires that many new materials be developed, such as barriers and insulators, planarization materials, pre- and post-deposition cleaners, pre- and post-chemical mechanical planarization (CMP) cleaners, and post-etch photoresist and post-strip residue removers. Each new layer of copper generates a need for additional new materials. As the migration to copper continues, many in the industry are predicting that the number of steps required to produce a chip will increase, driving the need for as many as 50 to 60 new materials to be developed in support of this change.

Most device manufacturers have transitioned to copper (from aluminum) as the main conducting material for building interconnect structures. Copper’s lower electrical resistance means that chips made with copper interconnects need fewer metal layers compared with those made with aluminum, which reduces costs. Because copper conducts electricity with about 40% less resistance than aluminum, using copper increases performance, raising microprocessor speed by as much as 15%, and reduces power needs, extending battery life. In addition, aluminum is unable to conduct electricity well at very small linewidths; using copper enables designers to layer 100 million to 200 million transistors on a chip. Overall, the use of copper allows for smaller circuits because copper greatly reduces power consumption while increasing IC speed.

Although copper has advantages, it also presents a number of difficulties. Unlike aluminum, copper cannot be etched. To overcome this hurdle, IBM developed a process called Damascene, in which a circuit pattern is etched into a dielectric (insulating) layer; then, a layer of copper that overfills the trenches is deposited on the etched dielectric. Finally, the excess copper is removed by a polishing step called chemical mechanical planarization (CMP, the use of an abrasive compound to polish a wafer’s surface to eliminate imperfections that would otherwise interfere with the photolithography process and chip yields).

Electrochemical deposition (ECD) is growing rapidly as a result of the industry’s desire to use copper as the conducting layer in certain devices. Nature favors copper over aluminum wiring because of its higher conductivity and greater resistance to thermally and electrically induced short circuits. In ECD, the wafer is placed in a bath of copper electroplating solution. A power supply is connected from the wafer substrate to a solid copper anode. When current is applied, the wafer acts as a cathode where copper is reduced from a solution and deposited onto the wafer resulting in a thin film of copper on the wafer.

CMP is used to prepare a wafer for patterning photolithography. As wafers are processed, thin film thicknesses vary across the surface of the wafer. Because of the fine linewidths used in photolithography, wafers need to have more consistent topography. CMP planarizes the processed wafer by polishing the wafer using a mechanical polishing pad and slurry, an abrasive solution containing abrasive particles and liquids and chemicals that selectively erode away the appropriate excess materials. Given the migration to copper, precision surface preparation and cleaning materials become more critical in the fabrication of advanced interconnect devices.

In conjunction with the switch to copper, semiconductor manufacturers are moving from traditional silicon oxide insulating films to insulators that have a low dielectric constant, or “low-k.” Low-k dielectric materials provide more effective insulation between metal layers that are packed increasingly closer together. Equipment makers that focus on deposition, etch, CMP, metrology, and defect inspection have developed new tools designed specifically for copper processes.

Major participants include Applied Materials, ASM International NV, Novellus Systems Inc., FEI Company, KLA-Tencor Corp., Lam Research, and Rudolph Technologies Inc. Applied is the leading supplier of systems for manufacturing copper-based chips, including equipment for depositing, etching and planarizing copper interconnect layers. In addition, companies such as ATMI Inc. and Cabot Microelectronics Corp. provide specialty materials, such as gases and CMP slurries, used in the copper Damascene process.

ASIA-PACIFIC STIRS UP CHIP AND EQUIPMENT SALES

A major reason for the growth of the Asia-Pacific chip market is the shift of electronics manufacturing to that region. Many electronics makers have set up shop in countries such as China, Malaysia, and Singapore

Page 19: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 17

to take advantage of low-cost skilled labor. Hewlett-Packard Co., Motorola Inc., and Dell Inc. all have facilities in Asia, and many contract electronics makers have expanded their operations in the region in recent years.

People in Japan, South Korea, and Taiwan have been technology enthusiasts for many years. With rising prosperity in other Asian-Pacific nations, a growing number of residents can now afford the latest electronic devices. Living standards have risen dramatically in China, South Korea, Malaysia, Singapore, and India in the past decade. One result of this change has been a marked increase in Internet and computer use: over 800 million Internet users—or more than 42% of total world users—resided in Asia as of June 2010 (latest

available), according to Internet World Stats, which tracks Internet usage.

Flextronics International Ltd., a contract manufacturer that makes products for companies such as Dell, Motorola, and Xerox Corp., reported that 20% of its sales for its fiscal year ended March 2001 were in Asia. For its fiscal year ended March 2010, that percentage had risen to 48%. The region has also become more important for Jabil Circuit Inc., another leading electronics contract manufacturer, which has seen sales to this region rise, as a percentage of sales, over the last decade.

With the concentration of electronics manufacturing in Asia, semiconductor companies are following suit in order to collaborate more closely with their customers, reduce shipping costs, and take advantage of the region’s significantly lower operating, property, construction, material, and labor costs. With the cost of building a new fab over $3 billion, semiconductor companies are attracted to regions that can provide savings on land and building costs. (Fab is the informal name for a chip manufacturer’s wafer fabrication plant.) In addition, wages for skilled labor are considerably less than what they are in the West, and raw materials that are heavily used during the fabrication process, such as water and industrial gases, are also much less expensive.

Chip manufacturing and design are moving to Asia More and more chip manufacturing has moved to Asian countries. Taiwan and other Pacific Rim countries have seen their chip foundry businesses grow significantly in the past 15 years. (A foundry manufactures chips for other companies.)

Chart H05 : GLOBAL CHIP CONSUMPTION, BY REGION

GLOBAL CHIP CONSUMPTION, BY REGION (Percentage of total)

1015202530354045505560

2000 2001 2002 2003 2004 2005 2006 2007 2008 2009 2010Americas Europe Japan Other Asia/Pacific

Source: Semiconductor Industry Association.

CHART H15: SEMICONDUCTOR EQUIPMENT SPENDING BY REGION

Rest of World9%

South Korea16%North America

21%

Japan14%

Europe6%

China6%

Taiwan28%

SEMICONDUCTOR EQUIPMENT SPENDING BY REGION

2009

Source: Semiconductor Equipment and Materials International.

Total: $15.9 billion

Rest of World10%

Taiwan28%

South Korea21%

North America15%

Japan11%

China9%

Europe6%

2010

Total: $39.5 billion

Page 20: S&P Semi Conductor

18 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Three of the four largest foundry manufacturers are Asian companies: Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) and United Microelectronics Corp., both of Taiwan, as well as Semiconductor Manufacturing International Corp. (China). Although China’s tech companies used to focus on low-end assembly and manufacturing, they are now making more advanced technology products. China has also succeeded in drawing eager international investors by providing low labor and land costs, efficient ports and transportation systems, and a skilled labor force. While other countries in Asia, such as the Philippines, may offer cheaper labor, China appeals to chipmakers because it already has the factories that make computers and cellphones, which use vast quantities of chips.

The landscape for fab capacity has dramatically changed since 2000, with countries such as South Korea and Taiwan gaining significant market share, while others such as Japan and the US losing sizeable share. We expect this trend to continue over the long term, as companies can enhance cost efficiencies and gain competitive advantages by moving closer to customers.

Semiconductor equipment makers have followed their customers—chipmakers and foundries—to Asia; the majority of new chipmaking plants are now being built in the region. The increasing amount of new foundries in Asia, especially in Taiwan and China, means that Asia is becoming increasingly important to semiconductor equipment makers. Industry leader Applied Materials has seen sales to Asia rise from 30% of its revenues in fiscal 1997 (ended October 1997) to 78% in fiscal 2010. This included 29% of its sales in Taiwan, Japan (8%), Korea (19%), and Asia-Pacific (22%, including China).

End markets fuel growth Key end markets have driven growth in both the semiconductor and chip equipment industries. Having multiple end markets has helped reduce cyclicality and increased stability in these industries. Consumers account for a growing share of end-demand for chips in PCs and electronic products, which has also helped mitigate industry volatility.

PCs have historically accounted for the largest proportion of chip demand, and this trend continues. In recent years, chips used in PCs and other computer equipment accounted for roughly 40% of worldwide chip demand. The remaining global chip demand is driven by consumer spending on products for mobile communications, entertainment, and transportation. In March 2011, Gartner Inc., an information technology market research and consulting firm, forecast that the PC industry would experience an 11% rise in total shipments to 387.8 million in 2011.

Gartner expects consumer demand for media tablets, such as the iPad, to result in a PC market that is softer than previously anticipated. The research firm believes that consumer substitution of media tablets for mobile PCs is already beginning to affect mobile PC shipments in mature markets. However, Gartner thinks the professional market will continue to exhibit modest growth in 2011 and 2012, as aging PCs are replaced across all regions of the world. For 2012, the research firm is projecting the PC industry to grow an additional 13.6% to 440.6 million.

HOW THE INDUSTRY OPERATES

Although the semiconductor capital equipment industry is unfamiliar to the average person, it is a key part of the present technological revolution. Advanced devices—such as lithography and etch systems—facilitate the production of faster, cheaper, and more powerful chips, which in turn power the personal computers (PCs), networks, and communications systems that are now integral to the global economy.

As late as the 1980s, semiconductor companies built much of their own production equipment. As manufacturing became more complex, stand-alone equipment suppliers emerged as a reliable source of hardware capable of meeting semiconductor manufacturers’ exacting standards. Today, large equipment suppliers not only sell process tools, but also integrate them and guarantee process results.

Since the invention of the integrated circuit (IC) in 1959, the size of circuits on semiconductor chips has diminished at a fairly steady rate. Until about 1975, semiconductor transistor density—and hence,

Page 21: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 19

performance—doubled roughly every year; since then, circuit density has doubled about every 18 months. To achieve this constant advance in circuit density and performance, chipmakers have increasingly turned to equipment suppliers to provide process solutions, not just nuts-and-bolts equipment.

MOORE’S LAW CHARTS TECHNOLOGICAL PROGRESS

In 1965, Dr. Gordon Moore observed that the number of transistors per chip had doubled roughly every year since the IC was invented. Dr. Moore, who cofounded Intel in 1968, predicted that this rate of advance would hold over the next 10 years, and he was proven correct. Dr. Moore revised his prediction in 1975, foreseeing a doubling every 18 months, which he changed again in 1995 to every two years. His observation, now known as Moore’s Law, has become an industry benchmark.

In accordance with Dr. Moore’s observation, advancing technology has led to the production of smaller, faster chips with more functionality and greater memory capacity. Simply put, Moore’s Law means that, for the same price, a person can purchase twice the processing power and twice the amount of memory every couple of years. As a result, a laptop computer costing less than $1,000 today contains more processing power than a million-dollar mainframe computer of 20 years ago.

These advances in semiconductor capability have been achieved by decreasing the feature size, or linewidth, of chips, and by increasing the number of layers of material deposited on them. Today’s most advanced chips contain more than one billion transistors and up to 12 layers of metal wiring. These layers can be divided into two groups: the lower section, which is usually made of tungsten or aluminum and is comprised of three or four layers holding the transistors; and the upper section, which has six to eight interconnect layers that provide the connection to the transistors below. In logic chips, the interconnect layers are increasingly being made from copper.

The benefits of moving to next generation technology can include using less space per transistor, reducing heat output from each transistor, and/or increasing the number of integrated features on each chip. This can result in microprocessors that are higher performing, consume less power, and/or cost less to manufacture.

As chips continue to shrink, there has been some doubt about the industry’s ability to keep up with Moore’s Law. Intel and others have been working with new materials, such as hafnium, to overcome some of the physical barriers of making ever-smaller chips.

Now, as always, the need to innovate to keep up with the pace of progress in linewidth size creates both challenges and opportunities for semiconductor equipment makers. The driver of this perpetual growth is the pursuit of profits and margins. Chipmakers can demand a high premium for chips that are a step ahead of peers due to the resulting exponential performance benefits. This pushes up pricing and demand for leading-edge equipment technology and drives perpetual innovation by the semiconductor equipment companies.

HOW CHIPS ARE MADE

Before describing the different types of semiconductor equipment, it is necessary to explain the steps in the chip manufacturing process. Semiconductors are produced through what is perhaps the most advanced and complex manufacturing process in the world, involving an average of 500 individual process steps.

The two basic stages in chip production are known as the “front end” and the “back end.” The front end involves materials preparation (circuit design, photomask making, and the manufacture of raw wafers) and wafer processing (repeated cycles of deposition, etch, doping, planarization, and in-process testing). The back end consists of assembly, packaging, and final test operations.

An outline of the major semiconductor manufacturing processes follows. For illustrations of the chipmaking process and descriptions with workflow diagrams, see the following websites:

http://www.sematech.org/corporate/news/mfgproc/mfgproc.htm http://www.intel.com/pressroom/kits/chipmaking/?iid=SEARCH

Page 22: S&P Semi Conductor

20 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

The wafer: a slice of silicon The basic component in the manufacture of semiconductor devices is a thin, circular crystalline silicon wafer. Wafers are cut from a silicon column fashioned from melted sand to which a seed crystal was added. Wafers today typically have a diameter of 300 millimeters (mm; 12 inches) or 200mm (eight inches).

The wafer is cleaned throughout the manufacturing process. As device geometries on wafers shrink further, reducing contamination becomes increasingly important. To ensure that microscopic particles do not interfere with fabrication, semiconductors are manufactured in a “clean room”—a small windowless space fitted with superfine air filters. Human presence is minimized in the clean room, and production workers wear “bunny suits” that cover the entire body.

Wafer processing After the cut wafer receives its initial cleaning, a primary layer of ultrapure crystalline silicon is grown on the wafer’s surface, in a process called epitaxy. This epitaxial layer (or “epilayer”) performs better than the bare surface of the raw, bulk wafer in subsequent fabrication steps. Following epitaxy, the wafer is cycled through each of the major wafer process steps about 16 to 24 times, in order to create up to 25 layers of materials and as many as 12 wiring levels.

The four basic types of operations in wafer processing are layering, patterning, doping, and heat treatments. (The process description that follows draws on Peter Van Zant’s textbook, Microchip Fabrication: A Practical Guide to Semiconductor Processing, listed in the “Industry References” section of this Survey.)

Layering. In layering operations, also referred to as deposition, thin films of insulating (dielectric) or conductive (metal) materials are either grown or deposited on the wafer. Layers may be grown, in a manner akin to rusting, through oxidation or nitridation. Deposition techniques include chemical vapor deposition (CVD), evaporation, and sputtering.

In CVD—the most common thin film deposition method—high heat and low pressure are applied to gaseous mixtures to facilitate the deposition of a thin film layer. Evaporation involves melting a conductive metal, often aluminum, to a liquid state so that the atoms or molecules can evaporate into the chamber’s atmosphere. Sputtering (also called physical vapor deposition, or PVD) is a physical, rather than a chemical, process. Positively charged argon gas atoms strike the atoms of a target material, scattering them throughout the chamber, with some moving to the wafer’s surface.

Patterning. Patterning involves the transfer of a circuit design to the wafer surface. This process, also known as photolithography or photomasking, is very similar to the photographic process. Microscopic images of electronic circuits are imprinted in chrome on a clear quartz plate known as a photomask, or reticle.

The photomask is placed together with the wafer in a piece of equipment called a step-and-repeat projection aligner, or “stepper,” which operates like a photographic enlarger except that it typically reduces the projected image. Inside the stepper, a light source is used to project the images from the photomask onto the wafer’s surface, which is coated with a layer of light-sensitive liquid called photoresist. When light hits the photoresist layer, the exposed photoresist is rendered insoluble and hardens. The stepper then repositions the wafer so that the process can be repeated on a different section of the wafer to imprint another die with the circuit.

In a step called etching, or simply “etch,” solvents are introduced that remove the portion of the wafer layer not protected by the hardened photoresist. This leaves a pattern on the wafer that exactly matches the circuit pattern on the mask after doping (deposition). The hardened photoresist is later removed with another chemical, in a step known as strip. Both etch and strip may be performed using “wet” techniques (using liquid chemicals) or “dry” techniques (using reactive gases).

Doping. In doping operations, specific amounts of impurities (called dopant atoms) are introduced through exposed portions of the wafer to create electrically active areas. The two doping techniques are thermal diffusion (a chemical process) and ion implantation (a physical process).

In thermal diffusion, a solid, liquid, or gaseous mixture containing the desired dopants is vaporized and allowed to contact the wafer in a heated environment. When the wafer is heated to about 1,000

Page 23: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 21

degrees Celsius, the dopants are driven into the wafer and redistributed both vertically and horizontally throughout the wafer’s depth.

In ion implantation, a magnetically focused beam of charged particles (ions) is used to shoot dopants into the wafer surface in a process similar to a pistol firing bullets into a wall. Implantation is more precise than diffusion.

Heat treatments. In heat treatment operations, wafers are heated or cooled to achieve certain results; no materials are introduced or removed. One example is the “anneal” step, in which damage to the wafer’s crystal structure (resulting from ion implantation) is repaired by heating the wafer above 700 degrees Celsius. Heat treatments also are used to “alloy” deposited stripes of metal to the wafer to ensure proper electrical conduction. Cooling treatments are used to freeze and control water vapor, oils, gases, and other contaminants in wafer process chambers.

In-process testing and smoothing Inspection and measurement of the wafer and its individual ICs is performed throughout the wafer fabrication process. Electrical parameters are measured to verify the reliability of the entire process, and wafers are examined for unwanted particles. In-line monitoring is becoming increasingly popular (and necessary) as a way of detecting defects at the moment of production, as opposed to waiting for final test results of the finished products to discover problems. These activities are part of yield management efforts to discover, analyze, and correct inefficiencies in processing procedures.

The process step known as chemical mechanical planarization (CMP) uses a polishing procedure involving abrasive slurries to smooth the surface of a wafer after each metal interconnect layer is created. CMP began to be widely used in the 1990s. As linewidth geometries have shrunk, CMP has grown in importance. The smoothing is necessary to correct irregularities on the wafer’s surface that can impede the photolithographic process and reduce the yield.

The back end: assembly... The steps of wafer dicing, die bonding, and wire bonding are known collectively as assembly. The back end of the chip manufacturing process begins when the finished wafer is cut into individual devices with a dicing saw that uses diamond-embedded saw blades. Depending on the size of the devices (which varies widely), more than 2,400 ICs can fit on a 300mm wafer, while only 1,000 ICs can fit on a 200mm wafer. The actual yield (the percentage of usable finished devices produced per wafer) depends on the number of defects.

A die bonder takes each good IC (also known as a chip or a die) and bonds it to a package that is typically a stamped metal or ceramic leadframe. The package is then moved to a wire bonder. In order to create the electrical connection necessary for the device to function, very fine gold or aluminum wire is bonded between specific bond pads on the die and corresponding leads on the package. In an emerging alternative technology known as “flip chip,” bumps on the die make the connections to the package, thus eliminating the need for wire bonding.

...packaging... Next comes packaging, which commonly involves encapsulation of the die and lead frame in molded plastic packages that protect the chips and help to dissipate heat. For chips that will operate in harsh environments, a hermetic seal can be achieved with metal and ceramic enclosures.

...and testing Finished packages are subjected to a final test process. Environmental tests check the package’s resistance to temperature change and leakage; if air can get in, it can contaminate the chip with particles and moisture. Electrical tests ensure that the chip functions within required parametric specifications.

Test equipment includes computer-controlled mainframe testers, test heads connected to the testers, and handlers that insert the packages into the test head’s sockets. An optional burn-in test often is used to evaluate the chips in operation at various temperatures; it seeks to stress the chip and package connections to eliminate chips prone to failure early in their lifetime.

Page 24: S&P Semi Conductor

22 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Wafers: bigger is better Historically, semiconductor manufacturers have moved to a larger wafer size every seven or eight years. In the early 1970s, the standard wafer size was one and one-half to two inches. Today, standard wafer sizes are eight and 12 inches (200mm and 300mm, respectively). To process 300mm wafers, chipmakers have had to purchase new equipment, which on average costs 1.3 times as much as equipment for making 200mm wafers. Initial margins on 300mm tools were lower than those for 200mm tools, until manufacturing volumes increased and efficiencies developed. However, as the technologies matured and sales increased, 300mm sales have helped equipment makers’ revenues and margins.

Lithography: smaller is better Another critical technology for the production of devices with ever-smaller transistor sizes is imaging (or lithography) equipment, which prints complex circuit patterns onto the wafers that are the primary raw material for ICs. In a process similar to making prints from photographic negatives, lithography projects visible light (optical lithography), or x-rays or electron beams (non-optical lithography) through circuit patterns onto silicon wafers. Because it is one of the most expensive and critical steps in the manufacturing process of the semiconductor, there is a need for cost-efficient enhancements to production technology.

ASML Holding NV is a major participant in the photolithography equipment industry, with its Step-and-Scan systems, which combine stepper technology with a photo-scanning method. As the size of the electronic features of semiconductors has shrunk, advanced chips’ features are now smaller than the shortest wavelength of light used in the photolithography process. The problem is analogous to that of trying to draw a one-eighth inch line with a quarter inch pen.

One way of dealing with the light wave problem is through special photomask techniques that “trick” light into resolving very fine features. However, such tricks have caused sharp increases in the complexity (and hence the price) of photomasks. The result is that the cost of masks, and of developing new mask technologies, is spiraling out of control. There are several ways to try to reduce photomask costs. Foundries sometimes offer multiproject wafers in which several chips share the same set of photomasks, to reduce costs.

As another cost reduction method, chipmakers will sometimes use programmable logic chips, which are off-the-shelf chips that allow for some customization, especially when they design a chip for low-volume production. This eliminates the high fixed costs of manufacturing custom designed chips and the need for a unique set of photomasks. Photomask makers continue to aggressively pursue technology to reduce the cost of manufacturing photomasks. With the photomask market fairly competitive, lower costs enable photomask makers to increase their margins and/or gain market share through more competitive pricing.

ASML has been performing research on maskless lithography (a mask contains the pattern that is imaged onto the wafer). Maskless lithography is one of the possible solutions for managing rising mask costs and it increases the flexibility of the imaging. Designs resulting in small quantities of wafers, designs with many changes, or designs that require a fast time-to-market will particularly benefit from maskless technology. In December 2004, Micronic Laser Systems AB and ASML agreed to a license agreement concerning the development of optical maskless lithography technology for semiconductor manufacturing.

Deep ultraviolet (DUV) lithography tools in production today are either krypton and fluorine (with a 248nm wavelength) or argon and fluorine (193nm wavelength). Depending on the kind of chip being manufactured, krypton and fluorine light sources are used to pattern features from 250nm to as small as approximately 90nm. Argon and fluorine sources are used to pattern features of approximately 120nm, and are expected to be used until extreme ultraviolet (EUV) sources are adopted in production at approximately 22nm.

Immersion lithography—whereby a layer of water is inserted between the final lens element and the wafer to reduce the wavelength of the light to enable the patterning of even smaller critical dimensions—and double patterning is expected to extend existing technology to 22nm or smaller. In double patterning applications, using one of several potential approaches, the most critical layers on the wafer will be patterned twice in order to reduce feature sizes beyond those achievable using immersion alone. When double patterning reaches its critical dimension limit, the next wavelength will involve the use of extreme ultraviolet illumination sources.

Page 25: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 23

HOW SOLAR CELLS ARE MADE

Solar cells are considered to have many similar characteristics and processes as semiconductors, and thus, semiconductor equipment manufacturers have been able to leverage products into this industry. By definition, a solar cell is a device that converts sunlight energy directly into electricity using the photovoltaic effect. There are two types of solar technologies, traditional silicon-based and thin-film. We will touch upon the silicon-based approach, as it comprises by far the largest percentage of the market. Thin-film has many different forms of technology and materials used depending on the manufacturer.

Both the chip and solar industries use the same key raw material, silicon, within the core manufacturing process. Within the solar industry, wafers undergo clean, etching, and rinsing processes. In addition, making the solar cell requires a p-n junction to be created out of the wafer. A p-n junction is a cell that has a positive p-type semiconductor on one-side and a negative n-type semiconductor on the other, which is accomplished by diffusing one side of the wafer with phosphorus. Once this is done, testing for impurities, certain coating, and additional etching steps may be performed, among other functions. After the cell has been created, module manufacturers mount a number of cells together on a frame, which are ultimately installed on a consumer rooftop or other location when complete.

TOOLS OF THE TRADE

Semiconductor equipment is typically categorized according to the two stages in chip production: front-end or back-end. In the long term, growth in front-end equipment is expected to outpace that of the back-end segment. This reflects prospects for significant technology upgrades of front-end tools, which will enable the production of devices with smaller linewidths on larger wafers.

Front-end tools Front-end tools perform manufacturing steps from the creation of the silicon wafer to the production of ICs on the wafer. Wafer process equipment typically accounts for about 75% of total industry revenues from equipment sales. Other front-end equipment (including masks, wafer manufacture, and facility automation and equipment) accounts for another 5%.

The broad front-end category comprises photolithography equipment, deposition equipment, and etching and cleaning tools. Process diagnostics, ion implantation, and chemical mechanical polishing tools are other types of front-end manufacturing equipment.

Back-end tools Back-end tools comprise equipment used in the latter stages of manufacturing, after ICs have been produced on the wafer, and typically account for about 20% of total industry revenues from equipment sales. These steps include assembly, packaging, handling, and testing of individual chips. Historically, the market leaders in this segment have been Advantest Corp. and Teradyne Inc.

KEY SALES DRIVERS

There are several key sales drivers for semiconductor equipment. These include global gross domestic product, global chip demand, and chipmaker capacity and utilization.

Demand for semiconductors is influenced by conditions in the global economy. For example, businesses tend to cut capital spending during a recession, leading to slower growth in semiconductor-rich applications, such as computers and communications infrastructure. Likewise, demand for consumer products with high semiconductor content, such as PCs, cellular phones, video games, and so on, declines during periods of economic weakness.

While the ups and downs of the economy strongly affect the chip market, so do internal industry conditions, the foremost of which is available manufacturing capacity. Excess capacity leads to lower chip pricing, less profits at chipmakers, and a sharp drop in spending on chip equipment. As a result, changes in manufacturing capacity strongly accentuate economic cycles.

Page 26: S&P Semi Conductor

24 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Future demand for semiconductors is notoriously hard to predict. Since it takes one to two years to complete a new semiconductor fabrication plant, industry executives must make decisions on whether or not to add capacity long before the capacity will actually be needed. Often, the largest amount of capacity is added just when it is needed the least, at the top of a cycle, when demand is about to swing lower.

To reduce the impact of declines, chipmakers seek to reduce their fixed costs by outsourcing manufacturing to semiconductor foundries. (Fixed costs include overhead and other costs that do not vary directly with changes in sales volume.) When chipmakers outsource their manufacturing, it can soften the blow to profitability when demand slows and capacity is idle. It may also lessen volatility in chip industry profits by essentially transferring the risk of idle plants to the foundries. Similarly, equipment makers outsource part or all of their tool manufacturing.

Chipmakers do risk losing some business during market upturns, when foundries are running at capacity and may have to turn away orders. This risk affects smaller chip companies, in particular. In addition, chipmakers must pay a premium to compensate foundries for the costs of shouldering idle capacity during downturns. While this arrangement can allow chipmakers to better control expenses during downturns, it also means that profits may be more limited than in the past, during boom times, due to the shift from fixed costs to more variable costs.

Long lead times magnify swings Market volatility for chip equipment companies is exacerbated by the long lead times inherent in the electronics supply chain, which includes electronics manufacturers, chipmakers, materials suppliers, and chip equipment makers. Because of the time needed to produce electronic goods, manufacturers must forecast demand for their products and share these forecasts with chipmakers, which must in turn forecast demand for their chips, in order to maintain adequate inventories. If actual demand falls short of forecasts, chip inventories can pile up among end users, manufacturers, and distributors.

Since equipment makers are at the bottom of the electronics food chain, the downturn caused by such an inventory glut can have a severe effect on equipment purchases. Indeed, market volatility is even more severe for semiconductor equipment companies than for chipmakers because of the long lead times needed to fill equipment orders. Typically, equipment is delivered five to six months after an order is placed; even during industry slowdowns, the wait may still be as long as three months.

LONG-TERM RELATIONSHIPS SUSTAIN GROWTH

Semiconductor equipment is marketed primarily through direct sales forces rather than through agents or distributors, especially in the US. However, distributors are often used in foreign markets.

Equipment manufacturers focus their marketing efforts on building long-term relationships with customers. To that end, equipment companies employ sales and engineering personnel that work closely with chip manufacturers. In addition, they provide ongoing product support services to customers. To carry out these services, larger equipment companies maintain sales and support offices in major equipment markets around the world, including locations in Europe, North America, Japan, South Korea, Taiwan, and, increasingly, China.

Chipmakers are typically resistant to switching from one equipment vendor to another unless doing so results in substantial benefits. The rationale for this is the sensitivity of the manufacturing process and the costly and time-consuming process of qualifying a new tool. The rule of thumb is that a 25% benefit is needed to get a chipmaker to consider a new tool. This forced loyalty means that equipment makers and chipmakers must work together to solve problems and emerging needs.

Chip equipment companies also commonly enter into joint ventures or alliances with each other in order to penetrate new markets. For example, Applied Materials and Brooks Automation Inc. operate a partnership to help grow Applied Materials’ service business and provide new customers for Brooks’ equipment.

An equipment manufacturers’ installed base—the total amount of software and machinery in service at customer sites—indicates the degree to which its products have been accepted in the marketplace and is thus

Page 27: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 25

an important measure of the company’s stature in the industry. Furthermore, since all active equipment must eventually be replaced with the latest technology (or at least serviced or updated), a formidable installed base provides additional revenue opportunities.

R&D IS CRITICAL

Although the number of participants in a market segment can vary greatly, the industry is highly competitive on a global basis. Price, overall cost of ownership, product quality and reliability, and customer service are among the critical competitive factors. To keep up with the competition amid rapid technological change, equipment makers must invest significant sums in product and process research and development (R&D). Although smaller companies often have the advantage of being innovative, they are usually at a disadvantage in terms of total dollars available for R&D and the number of engineers and other technical workers available.

Because of high R&D costs and the time needed to develop and ready new equipment for market, several years are usually required for a new semiconductor equipment product to generate initial sales, and, if successful, to eventually produce profits. Throughout the process, the semiconductor equipment company shoulders considerable risks. It may spend millions of dollars on designing a new product without any guarantee that the product will sell.

REDUCING COSTS THROUGH OUTSOURCING

The severe industry downturn of 2000 to 2001, and again in 2007 to 2009, forced both chipmakers and semiconductor equipment companies to address their high cost structures. Cost-saving measures have continued, even as industry conditions have improved. Some companies have consolidated manufacturing plants or moved manufacturing and/or parts sourcing overseas to lower-cost areas—China, in particular. Taken together, these cost reductions should provide the industry with significant operating leverage; that is, as industry revenues begin to increase, profits should rise at a faster pace than revenues. Semiconductor manufacturers and chip equipment companies are now focusing on reducing fixed costs rather than total costs by outsourcing more of their noncore business functions to achieve lower break-even levels.

Some chipmakers go fabless In the 1950s and 1960s, semiconductor manufacturers such as International Business Machines Corp. (IBM) built their own fabrication equipment to design and manufacture their chips. With the PC boom of the 1980s, these firms began to focus on designing and building chips and began buying manufacturing equipment from others. Established suppliers, such as Applied Materials and Teradyne Inc., grew much larger as the chipmaking equipment market expanded. Further specialization among equipment makers has accompanied the proliferation of semiconductors, which are now incorporated in a variety of manufactured goods, from automobiles to computers to cellphones.

The cost to build a semiconductor fab is enormous. Building a new, leading-edge 300mm fab at the 45nm node requires an investment of $3 billion to $5 billion. Cost issues have led many semiconductor companies to outsource their chip production to contract manufacturers, or foundries. Semiconductor companies that design and market their own chips, but rely on others to manufacture them, are called “fabless.” Top fabless semiconductor companies include Qualcomm Inc., Broadcom Corp., and Nvidia Corp.

Short of going fabless, most chipmakers outsource at least some of their functions, including back-end processes such as testing, assembly, and packaging. With rising complexity, capital demands, and research required to maintain leading-edge packaging facilities, chipmakers are increasingly outsourcing these services. (Packaging must protect semiconductors from the environment, and, at the same time, allow electricity to circulate between the chip and the printed circuit board, or PCB). Leading back-end service providers include Taiwan-based Advanced Semiconductor Engineering Inc. and Siliconware Precision Industries Co. Ltd.

Foundries gain in importance As more chipmakers outsource portions of their manufacturing, or decide to go entirely fabless, foundries are becoming more important. The foundry model goes back to 1980, when United Microelectronics Corp. (UMC) was established in Taiwan. UMC produced chips under its own brand as well as under contract for

Page 28: S&P Semi Conductor

26 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

other companies. In 1987, Taiwan Semiconductor Manufacturing Co. Ltd. (TSMC) emerged as the first chipmaker dedicated exclusively to contract manufacturing. Also established in 1987 was Chartered Semiconductor Manufacturing Ltd. in Singapore. TSMC now dominates the foundry business.

Foundries are helping to reduce cyclicality in the semiconductor industry. Instead of chipmakers running their own equipment, foundries are able to schedule manufacturing more efficiently, with less wasted capacity. Foundries such as TSMC and UMC are excellent sources of production and sales information, issuing reports monthly instead of quarterly. This frequently updated information helps the industry to manage volatility.

Outsourcers move down the supply chain In the 1970s and 1980s, makers of chip equipment (original equipment manufacturers, or OEMs) typically supplied tools and all subsystem parts directly to fabrication plants. In time, this relationship evolved so that OEMs supplied tools to the fabs, while other players manufactured some of the subsystems for the OEMs.

Outsourcing these parts reduces research, development, and manufacturing costs for the OEMs. At the same time, it enables the subsystem manufacturer to concentrate its engineering talents on increasing the parts’ functionality and efficiency. The subsystems contain significant added value, so participation in this market can raise parts makers’ profit margins.

Semiconductor equipment companies outsource a variety of functions. LTX-Credence Corp., for example, outsources its assembly, system integration, and testing operations to electronics vendor Jabil Circuit. Applied Materials outsources many functions, including some of its engineering, manufacturing, customer support, software development, and administrative activities, to third parties in India, China, and other countries. Lam Research has implemented a companywide outsourcing program encompassing manufacturing, warehousing, facilities management, and information technology. Novellus Systems continues to perform all system design, assembly, and testing in-house, but outsources the manufacturing of major subassemblies.

KEY INDUSTRY RATIOS AND STATISTICS

Semiconductor equipment book-to-bill ratio. The semiconductor equipment book-to-bill ratio, compiled by trade association Semiconductor Equipment and Materials International (SEMI), is a useful indicator of the industry’s short-term economic direction. However, the book-to-bill ratio signals only near-term trends in the industry; it is not a reliable measure of relative strength in and of itself.

The book-to-bill ratio is calculated as the value of three-month average global orders divided by three-month average global sales for North American semiconductor equipment companies. When the book-to-bill ratio is above parity (over 1.00), orders exceed sales, and sales are likely to trend higher in the near term; when the ratio is below 1.00, the opposite holds true.

In February 2011, the book-to-bill ratio was 0.87 (preliminary), meaning that $87 of orders were received for every $100 of products billed for the month. This compares with a 0.85 book-to-bill in January 2011 and 0.90 in December 2010.

Global Billings Report. Released monthly by the Semiconductor Industry Association (SIA), an industry trade group, the Global Billings Report (GBR) provides total sales data for each major chip market: the Americas, Japan, the Asia-Pacific region, and Europe. As such, it presents valuable information regarding business trends in important worldwide chip markets.

Debuting in January 1997, the GBR replaced the SIA’s book-to-bill statistical program, which had been in existence for 20 years. The book-to-bill ratio, which measured semiconductor orders relative to sales in a given period, was a more forward-looking indicator, but it was limited in geographic scope to the Americas region. The new GBR reflects the industry’s expanding focus on worldwide markets and allows analysts to determine how chip sales trends are developing relative to historical trends.

Page 29: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 27

In January 2011, the SIA reported worldwide chip sales of $25.52 billion for the month. Approximately 54% of these sales were in Asia-Pacific, followed by the Americas (18%), Japan (15%), and Europe (13%).

Wafer fabrication plant utilization rates. The SIA maintains quarterly statistics on the extent to which integrated circuit wafer fabs are operating as opposed to remaining idle. (Fab is the informal name for a chip manufacturer’s wafer fabrication plant.) These capacity utilization rates track chip production levels relative to capacity. Analysts monitor trends in these rates to understand where the industry is in its cycle.

In boom times, utilization rates can rise above 95%; during mild busts, they dip toward 80%. We believe capacity utilization bottomed during the first quarter of 2009 at 56.8%—the lowest quarterly level ever recorded. However, by the second quarter of 2010, utilization rates had rebounded sharply to a high of 96%. In the fourth quarter of 2010, the utilization rate was 93%. We believe the 2007–09 semiconductor equipment downturn was the worst ever on record.

Individual semiconductor companies often release and discuss their own fab utilization rates, and analysts make use of this information in addition to the SIA numbers. Monitoring the utilization rates of a major chip foundry, such as Taiwan Semiconductor Manufacturing Co.—as well as the rates of major integrated device manufacturers (IDMs), such as Intel Corp., STMicroelectronics NV, or Texas Instruments Inc.—provides a more detailed fix on the industry’s position. Utilization rates tend to swing more widely for foundries than for IDMs.

Solar pricing. PVinsights.com maintains weekly data on spot prices across the solar supply chain, including polysilicon, wafers, cells, and modules. Started in 2005, PVinsights.com has since developed a network of price information contributors. The firm will poll prices from multiple contributors by telephone until the final price range is clear to them. Although the long-term trajectory for solar prices is down, in our view, the magnitude of the pricing decline within certain areas of the supply chain can help an individual recognize where demand could be weakest or stronger than expected, depending on the scenario. In addition, there are periods where pricing could increase within parts of the supply chain if demand exceeds supply for an extended amount of time. This is precisely what happened in the second half of 2010 for most manufacturers in the solar industry.

Real growth in gross domestic product (GDP). Gross domestic product, the broadest measure of aggregate economic activity, is the market value of goods and services produced by labor and capital in the US. Growth in the economy is measured by changes in inflation-adjusted (or real) GDP, which can be analyzed by examining the expenditure side of national income accounts. As the electronics industry has grown in size relative to the economy, changes in economic demand have begun to significantly affect demand for semiconductors and semiconductor equipment.

To arrive at GDP, four major expenditure categories are added: consumption, investment, government purchases of goods and services, and net exports of goods and services. Consumption, or spending by domestic households on final goods and services, is the largest component of expenditures, accounting for approximately two-thirds of GDP.

A change in GDP is an excellent measure of the health of the economy. Real US GDP growth was up a meager 1.1% in 2008 and down 2.4% in 2009, reflecting a downturn in the housing sector, turmoil in credit markets, and a general slowdown in consumer spending. In 2010, real GDP rose by a respectable 2.9%. As of April 2011, Standard & Poor’s was projecting real GDP to increase 2.9% this year.

Interest rates. Prevailing interest rate levels can have a material impact on the behavior of both producers and consumers. Since interest rates have a direct bearing on companies’ cost of capital, decisions regarding capital expenditures, share repurchases, and acquisition policies are directly related to interest rate levels and expectations for changes in interest rates.

From an investment standpoint, it is important to consider current and projected interest rate levels in calculating the value of future earnings flows from growth companies, such as the leading semiconductor equipment manufacturers. Simply put, if interest rates are expected to rise, investors will apply lower

Page 30: S&P Semi Conductor

28 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

price/earnings multiples when valuing a growth stock. However, if lower interest rates are forecast, they can justify higher stock valuations.

In December 2008, the Federal Reserve lowered the federal funds rate, the short-term interest rate at which banks lend excess funds to 0%–0.25%, reflecting the turmoil in the financial markets and the weakening of economic activity. The rate remained at that level as of this writing.

More important is the yield on the longer-term 10-year bond. This yield has been less than 5% since mid-2002, with only brief exceptions in 2006 and 2007. We expect this trend to continue in the foreseeable future, as we see a fragile housing market, high unemployment, credit market woes, and uncertain economic growth outweighing inflationary concerns.

HOW TO ANALYZE A SEMICONDUCTOR EQUIPMENT COMPANY

The global semiconductor equipment industry is highly competitive and subject to rapid technological change. This environment forces companies to be extremely responsive to evolving industry trends or they risk losing market share. Of the top 10 equipment companies by sales in 1982, only one (Applied Materials Inc.) remained in the top 10 in 2010.

A good first step in evaluating the management of a semiconductor equipment company is to look at its past performance. Sustaining leadership in the equipment industry poses significant challenges, including maintaining technological leadership, meeting production demand efficiently during upturns, and managing costs effectively through downturns. Standard & Poor’s believes that the best method of evaluating a management team is to look at its long-term financial performance, with particular attention given to gross and operating margins relative to peers in both up cycles and down cycles.

ANTICIPATING THE UPS AND DOWNS

It is important to appreciate that the industry has been highly cyclical, historically, and to analyze a company’s performance and valuation within that context. Cycles typically last for three to seven years, and industry revenues have fluctuated by 30% or more in either direction. In an extreme example, industry revenues fell by about 60% from 2000 to 2002, and declined more than that in the 2007–2009 downturn. Semiconductor equipment stocks tend to move in concert plus in anticipation of shifting trends in revenues.

Due to the industry’s cyclicality (especially following the 2000 to 2002 cyclical decline and the years of losses that followed), both companies and investors place a strong emphasis on total profitability across the cycle. In recent years, both semiconductor and equipment companies have been reducing the high fixed costs of their business by outsourcing noncore functions. Some examples of this outsourcing include chip fabrication (to foundries), testing (to subcontractors that offer chip testing and package services), photomask manufacturing, and the manufacture of subassemblies, to varying degrees, by equipment makers.

Looking forward, we see a decline in the degree of cyclicality, as a more diversified spectrum of geographic and end-product markets lead to less volatility in demand for chips. We believe that, as more and better information is shared across the industry, through tighter supply chains, the severity of the divergences from the natural supply-demand equilibrium will decline, leading to softer cycles.

Characteristics of down cycles... Down cycles are typically characterized by high levels of excess capacity among semiconductor manufacturers, which lead to sharp declines in equipment orders and sales. Typically, orders hit bottom four to six months before sales. A careful examination of the North America Capital Equipment book-to-bill ratio, released monthly by industry trade group Semiconductor Equipment and Materials International (SEMI), can help the analyst evaluate the trend in orders and sales.

Semiconductor sales are a leading indicator of impending turns in the equipment industry. With foundries (contract manufacturers) now playing a central role in chip production, a sustained sales trend at the large

Page 31: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 29

foundries can indicate underlying chip demand. Taiwan Semiconductor Mfg. Co. Ltd. and United Microelectronics Corp., the two largest foundries, are good indicators of foundry spending.

In addition, news from the large chipmaking companies—such as Intel Corp., NEC Electronics Corp., Samsung Corp., Texas Instruments Inc., STMicroelectronics NV, Infineon Technologies AG, and Motorola Inc.—can help analysts evaluate changes in industry demand. The companies’ websites can be visited for recent news. Finally, there are several market research firms that monitor and project industry statistics; among the most often cited in this industry are Gartner Inc. and VLSI Research Inc.

...and up cycles The growth phase of the chip business cycle is characterized by rapidly rising chip sales and capacity utilization, as well as increasing demand for semiconductor equipment. One historical indicator of an impending cycle peak is a high level of capacity utilization among chipmakers. (Capacity utilization data are

released quarterly by Semiconductor International Capacity Statistics and are available from the Semiconductor Industry Association, an industry trade association.) Total wafer fab capacity utilization rates of 90% and higher are typically unsustainable for extended periods and usually lead to overbuilding among chipmakers.

The one instance when utilization remained above 90% for six consecutive quarters (third quarter of 1999 through the fourth quarter of 2000, inclusive) led to extreme overbuilding of capacity, and, subsequently, to a severe decline. Capacity utilization that declines below 85% typically indicates that the industry is in the lower part of the cycle.

Order lead times for semiconductor equipment—the length of time needed between the receipt of an order and the delivery of the machinery—can be another gauge of industry conditions and potentially too much optimism. Long lead times indicate that chipmakers are ordering more equipment than equipment makers presently have the capacity to build. This usually occurs during a rapid expansion of semiconductor manufacturing capacity. At such times, chipmakers may inflate their orders (called doubled ordering) to ensure that they receive adequate equipment for their needs. When the industry growth spurt ends, equipment makers and chipmakers are often left with more equipment than they need—resulting in order cancellations and a period of softness, until demand eventually catches up with the extra capacity. While average lead times vary by product and company, trends can be useful. Lead times often are discussed on company conference calls.

Technological strength wins orders Purchasers of semiconductor equipment—the chipmakers—desperately want tools that will allow them to produce smaller, faster chips that can command the highest possible price, and they are willing to pay top dollar for leading-edge technology. For this reason, equipment makers with effective solutions for leading-edge technologies often see the fastest growth and the greatest profit margins.

Technological shifts in semiconductor manufacturing are ongoing. Three significant changes this decade have been the switch from aluminum to copper interconnects (and the related need for low-k dielectric or insulating materials), the shift from 200-millimeter (mm) diameter silicon wafers to 300mm, and the reduction of feature sizes (linewidths) on chips to 90 nanometers (nm) and 65nm and smaller. Companies with strong offerings at the leading edge of these and other technologies typically experience better margins and less price pressure than their peers do.

CHART H04: INVESTMENT IN EQUIPMENT & SOFTWARE VS. GDP GROWTH

(20)

(15)

(10)

(5)

0

5

10

15

20

1990 92 94 96 98 00 02 04 06 08 10 E2012

GDP Equipment & software

E-Estimate.Sources: US Department of Commerce; Standard & Poor's estimates.

INVESTMENT IN EQUIPMENT & SOFTWARE VS. GDP GROWTH (Year-to-year percent change)

Page 32: S&P Semi Conductor

30 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Market share matters Technological success of an individual firm—and its financial fortune—often can be gauged by gains or losses in market share. Because the semiconductor equipment market is highly cyclical, a rise or decline in revenues may reflect a broad industry trend, or a shift in sales to a company from its competitors due to technological superiority or other customer preferences.

An analysis of market share trends can be very revealing. Firms that successfully improve market share during industry downturns often see disproportionately higher growth rates when market conditions improve. Conversely, firms that lose market share may perform poorly even in the midst of an industry upturn.

Market share gains are difficult to achieve and are highly valued by investors, as chipmakers are generally quite resistant to switching from one equipment vendor to another unless doing so results in substantial benefits. Nevertheless, care should be taken not to simply extrapolate further market share gains without deliberation; each successive gain in market share becomes more difficult to achieve, as competitors that are losing market share intensify their efforts to prevent and reverse their market share losses.

Chipmakers often prefer to support more than one supplier of equipment—to maintain competition between suppliers and to ensure adequate alternatives should one supplier face technological or other difficulties. This further limits gains in market share.

Research firms such as Gartner Inc. and VLSI Research provide market share data on semiconductor equipment companies. In addition, individual companies often release their own views on market share, but the independent research generally is considered more reliable.

Understanding the source of demand Semiconductor equipment makers control their destinies through investing in areas where they expect demand to be strong—but expectations for demand frequently change. As part of a thorough analysis, an investor needs to consider the company’s largest customers, to which submarkets (e.g., memory, logic, etc.) is the company most exposed, and in which geographic areas are sales concentrated.

LOOKING AT THE INCOME STATEMENT

A review of a semiconductor equipment manufacturer’s income statement should begin with recent trends in sales and orders. These items should be analyzed on a year-to-year basis and a quarter-to-quarter (sequential) basis. In this dynamic business, sequential comparisons often give a more relevant picture of current trends.

It is often more useful to examine trends in orders than in sales, since orders are indicative of future prospects, whereas reported sales reflect the past. Nonetheless, the analyst should be aware that companies recognize revenue only upon shipment, and orders are vulnerable to delays and cancellations—usually without any penalty on the part of the firm requesting the cancellation. Cancellations often have a strong depressing effect on order rates during an industry down cycle.

Gross profit margins—the percentage of sales remaining after subtracting the cost of goods sold, which includes material, labor, and overhead—generally move in the same direction as sales. This is due to the relatively high level of fixed charges in the cost of goods sold, which does not fluctuate with higher output. One useful exercise is to compare a company’s gross margins with those of its competitors, at both the peaks and the troughs of cycle. The best companies in the industry have peak gross margins in the range of 50% to 60%.

A company’s expense line items—typically research and development (R&D) and selling, general, and administrative (SG&A) costs—should be evaluated against industry norms. (SG&A costs are sometimes broken down into two categories: marketing and selling, and general and administrative.) It’s preferable for these expenses to increase more slowly than sales, but that’s not always possible, especially for R&D. This is particularly true during downturns, when stronger companies invest heavily in R&D to gain market share. R&D expenses at larger companies range from 10% of sales during upturns to 20% or more during downturns. SG&A expense ratios also vary widely—from 8%–20% for industry leader Applied Materials to 12%–26% for KLA-Tencor Corp. Smaller companies generally have higher expense ratios.

Page 33: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 31

Financial statement analysis would be incomplete without some discussion of return on investment (ROI), of which the most popular measure is return on equity (ROE), or net income divided by average common shareholders’ equity. Again, a comparison with industry norms should prove useful, making sure to compensate for differences in operating and financial leverage and net cash positions, which can affect ROE. The best equipment companies generate an ROE in excess of 25% during cycle peaks.

Looking at the balance sheet Despite the industry’s cyclical and capital-intensive nature, semiconductor equipment companies generally have strong balance sheets. Because many industry participants have negative cash flows during downturns, long-term debt is typically not a significant portion of total capitalization. When examining small niche players in the industry, the analyst should keep in mind that cash and investments make up a significant portion of total assets for many companies. Companies with large cash balances have the flexibility to make acquisitions, share repurchases, and capital expenditures as they see fit, and they are able to fund operating needs during extended downturns. In addition, a strong cash balance may make a company more attractive as a takeover target.

The inventory turnover ratio, calculated by dividing costs of goods sold by average inventory, can alert an analyst to impending problems. When this ratio falls, it may be a signal of weaker-than-expected revenues and an oversupply of inventory. On one hand, a company could be building inventory in anticipation of a future increase in sales. On the other hand, it simply may be carrying obsolete inventory that can no longer be sold and must be written off eventually. Therefore, an analyst should look at industry trends and discuss the situation with management before drawing conclusions.

Accounts receivable turnover, derived by dividing sales by average accounts receivable, traditionally has been used to determine if a company is facing problems collecting payments from weak customers. A declining ratio could indicate that customers are not paying invoices as quickly as in the past, or that certain customers are experiencing cash flow problems. Alternatively, it could be the result of a rapid increase in sales near the end of a quarter. Again, the analyst should examine industry trends, speak to management, and compare a company’s accounts receivable turnover with that of its competitors.

Considering cash flow US accounting methods allow some degree of latitude in how companies can present certain aspects of their financial condition on financial statements, affording management the opportunity to manage reported income. For example, managers can massage the company’s bottom line by the way they depreciate assets and account for inventory. To obtain a more accurate assessment of a company’s overall health than is indicated by reported income alone, analysts often look to the statement of cash flows. Quite simply, it is cash, not net income, that is needed to repay loans, invest in new manufacturing capacity and inventory, and fund research and development efforts.

The statement of cash flow reports a firm’s sources and uses of cash by category: operating, investing, and financing activities. These are valuable details of the company’s transactions. The statement illustrates, among other things, how a company generated or used cash from its business, how it funded capital expenditures or repaid debt, how it used cash from the issuance of debt or new equity, and so on.

Many analysts use the concept of “free” cash flow (often defined as cash flow from operations, less capital expenditures and changes in working capital) as an important analytical tool. An adequate amount of free cash flow is needed to support internal growth and to maintain a degree of financial flexibility.

VALUATION METHODS

Before the most recent cycle, semiconductor equipment stocks had been relatively consistent in the valuation levels at which tops and bottoms were formed. Over the past 15 years (excluding the technology bubble and collapse of 1999 to 2002), semiconductor equipment stocks have generally traded between 1.0X and 5.0X trailing sales. Ranges typically vary depending on the size of the firm. For example, Applied Materials has traded at a five-year and 10-year historical average of 2.5X and 3.6X. KLA-Tencor, a semiconductor equipment company with larger market capitalization, has traded at five-year and 10-year multiples of 3.4X

Page 34: S&P Semi Conductor

32 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

and 4.3X. However, smaller capitalized names such as Brooks Automation have historically traded at lower multiples (1.2X and 1.5, respectively). On a price-to-book value basis, over the same period, these stocks have typically traded between 1.0X and 5.4X book value.

During the cycle upswing and peak in February 2000, semiconductor equipment stocks peaked at 12.1X trailing 12-month sales and 10.5X book value. These extremes have been excluded from the valuation peaks just mentioned, since the stock market that led to them was highly speculative, particularly with regard to technology stocks. Many of the riskier stocks fell by 90% or more. We view this event as a speculative bubble that is unlikely to recur in the foreseeable future. We believe that it is highly plausible that semiconductor equipment stocks will trade at lower multiples in the future as the industry continues to mature. In addition, it is important to note that multiples for the industry typically compress towards the peak of a cycle given the heightened risks associated with this point of the cycle. Ratios tend to be much higher at the trough of the cycle given the potential for much greater revenue growth.

While historical trends are easy to identify, it can be challenging to identify peaks and troughs as they occur or ahead of their occurrence. For example, in the second half of 2007, there were varying opinions about whether the weakness at that time was a short-term breather for the industry or the beginning of a more serious and extended downturn.

Page 35: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 33

GLOSSARY

Automatic test equipment (ATE)—Highly complex computerized tools used to verify, without human intervention, the electrical performance and functionality of finished chips. The two types of ATE tests are wafer probe, which takes place before dicing, and package test, in which individual chips are tested in their packages. (See Dicing.)

Capacitance—The property of an electronic device that determines how much charge it can store.

Chemical mechanical planarization (CMP)—Use of an abrasive compound (“slurry”) to polish a wafer’s surface to eliminate imperfections that would otherwise interfere with the photolithography process and chip yields.

Chemical vapor deposition (CVD)—The process of applying a thin film to a substrate using a controlled chemical reaction. CVD is used in the deposition of semiconducting and insulating materials.

Chip—A rectangular piece of semiconductive material, typically silicon, on which large amounts of transistors and circuitry have been implanted; also known as a die, integrated circuit, or semiconductor.

Clean room—A special environment used in the manufacture of semiconductors, in which humidity, temperature, and particulate levels are precisely controlled.

Conductor—A material, such as a metal, that efficiently transfers an electrical charge.

Cost of ownership—The total expense incurred in owning a piece of semiconductor manufacturing equipment, relative to its productive output. Includes purchase, training, and operating costs, throughput (the total number of wafers processed in a given period), and yield.

Critical dimension—The size of the smallest circuit line, element, or feature that must be manufactured on a given layer of a chip; also called linewidth or minimum feature size.

Defect—Any imperfection on a layer of an integrated circuit that causes a short circuit or other problem with the performance of the device.

Deposition—The process by which a layer of electrically insulating or conductive material is deposited on the surface of a wafer.

Design rules—A set of instructions, used by circuit designers, that define the minimum size of a transistor and the minimum spacing between adjacent components. A given set of design rules is specific to a given manufacturing process.

Dicing (wafer dicing)—The process of cutting a wafer into individual chips, or dice; typically done with a diamond-bladed saw.

Die—A piece of a semiconductor wafer containing a single integrated circuit that has not yet been packaged. The plural form is dice. (See Chip.)

Die bonding—Attaching a die to the frame of a package before wire bonding.

Dielectric—See Insulator.

Dielectric constant—The property of a dielectric (or insulator) that determines the electrostatic energy that can be stored. The dielectric constant affects the properties of transmission lines.

Diffusion—The movement of one material into another; used in semiconductor manufacturing to introduce impurities, or dopants, into a semiconductor area to form a transistor junction.

Page 36: S&P Semi Conductor

34 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

Doping—The introduction of precise amounts of impurity to a semiconductor, via diffusion or ion implanting, to alter its electrical properties.

Dry (plasma) etch—The process of using reactive gas excited by a plasma field to remove surface material from a wafer.

Etching—The selective removal of thin films or layers to engrave a circuit pattern on a wafer’s surface.

Fab—An informal term for a semiconductor fabrication (manufacturing) plant.

Fabless—Semiconductor companies that design and market their own chips, but rely on others to manufacture them.

Feature size—The dimensions, usually in microns or nanometers, of an electronic device or component in an integrated circuit; often used to mean “minimum feature size.” (See Linewidth.)

Foundry—A wafer fab that makes chips on a contract basis for other companies.

High-k (or Hi-k)—Stands for high dielectric constant, which is a measure of how much charge a material can hold and relates directly to transistor performance.

Insulator—A material, such as glass or porcelain, that does not conduct electricity. It will absorb an electrical charge because it has a deficiency of unbound electrons; also called a dielectric.

Integrated circuit (IC)—Another name for a semiconductor chip. (See Chip.)

Integrated device manufacturer (IDM)—A company that designs and manufactures its own chips, as contrasted with “fabless” companies, which design but do not manufacture their chips.

Interconnect layer—The alternate layers of wiring and insulation in an IC that form its electrical interconnections.

Ion—An atom that has been electrically charged by the loss or gain of electrons.

Ion implanting—The use of magnetically focused ion bombardment to inject charged particles (impurities known as “dopants”) into a silicon wafer in order to change its electrical properties.

Linewidth—The dimension of the smallest feature (a line or space in a circuit pattern) constructed on the chip; also called minimum feature size or critical dimension (CD).

Lithography—See Photolithography.

Low-k dielectric—A dielectric with a small dielectric constant. Low-k dielectrics have reduced parasitic capacitance and enable faster switching speeds and lower heat dissipation. (See Dielectric and Dielectric constant.)

Mask—See Photomask.

Metallization—The use of sputtering or evaporation to create conductive layers on a chip by applying a thin layer of metal (usually aluminum or copper) to a device.

Metrology—In semiconductor manufacturing, the measurement of the thickness of thin film layers, circuit widths, and other microscopically small features. Metrology is used to assure that the results of a process conform to desired specifications.

Micron—A unit of measure equal to one millionth of a meter; used to measure semiconductor feature sizes or linewidths (e.g., 0.18 micron). A human hair is about 100 microns wide.

Nanometer (nm)—One billionth of a meter, or 1/1,000 of a micron. As semiconductor feature sizes are reduced, minimum feature sizes are often referred to in nanometers instead of microns (e.g., 90nm versus 0.09 microns).

Oxide—A common term for silicon dioxide, which is added as an insulating film on the surface of a wafer.

Page 37: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 35

Passivation—Adding a final protective layer of silicon nitride or silicon dioxide to the top surface of a wafer. This step seals the finished semiconductor to prevent damage or contamination during packaging.

Photolithography—Use of light-sensitive photoresist and reticle masks to create integrated circuit patterns. These patterns are transferred from a mask to a silicon wafer using a light projector called a stepper; also called “lithography.”

Photomask—A clear quartz plate containing microscopic images of electronic circuits, used as a template to transfer the circuit image to a silicon wafer; also called a mask or reticle.

Photoresist—A light-sensitive material used in the photolithography process to develop a circuit pattern on the wafer. The pattern is then etched into the wafer.

Physical vapor deposition (PVD)—A deposition technique in which insulating or conductive material is transferred to a substrate by physical means, such as evaporation or sputtering.

Polysilicon—Highly purified silicon used in the electronic and solar industry. Often referred to as crystalline silicon.

Reticle—See Photomask.

Semiconductor—A material, such as silicon, whose properties lie in between that of a conductor and an insulator. If impurities are introduced (a process called doping), the material can be made slightly conductive or slightly insulative. (See Chip.)

Silicon—A nonmetallic element, made from melted sand, used to create wafers.

Solar cell—A device that converts sunlight energy directly into electricity using the photovoltaic effect.

Solid state drive (SSD)—A data storage device that uses solid-state memory to store persistent data. An SSD emulates a hard disk drive and is capable of replacing it in most applications.

Sputtering—A method of depositing a thin film of material on wafer surfaces using radio frequency–excited ions; also called physical vapor deposition.

Stepper—A device used to expose a photoresist-coated wafer surface by projecting light through a circuit pattern contained on a photomask. Its name is derived from the operation of making small step offsets to align the mask with each die position.

Substrate—The underlying material on which a microelectronic device is built, such as a silicon wafer.

Transistor—A three-terminal semiconductor device (“triode”) used for amplification, switching, and detection. The term is a contraction of “transfer resistor.”

Wafer—A thin circular silicon disk, usually 1/40 inch thick and six to 12 inches (150 to 300 millimeters) in diameter, used to form the substrate of an integrated circuit.

Yield—The percentage of dice that function normally out of the total number available on a wafer.

Page 38: S&P Semi Conductor

36 SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 INDUSTRY SURVEYS

INDUSTRY REFERENCES

PERIODICALS

EDN http://www.edn.com The website provides news coverage, research, analysis, strategic business information, and technical engineering content within the electronics industry supply chain.

Infrastructure http://www.infras.com Electronic newsletter and research resource; provides investment and market information on the semiconductor, semiconductor equipment, and flat-panel display industries.

SEMI Book-to-Bill Report http://www.semi.org Released monthly on the website, this report includes total shipment and booking figures for North America–based manufacturers of semiconductor equipment.

ONLINE RESOURCES

International Technology Roadmap for Semiconductors (ITRS) http://public.itrs.net Annual report; a cooperative effort to assess the technological challenges and needs facing the semiconductor industry over the next 15 years.

Silicon Strategies http://www.siliconstrategies.com Valuable source of daily news stories on the entire semiconductor industry, including equipment makers.

PV Insights http://pvinsights.com Provides valuable insight on solar spot prices across the supply chain.

BOOKS

The Chip: How Two Americans Invented the Microchip and Launched a Revolution T.R. Reid The story of Jack Kilby and Robert Noyce, who invented the integrated circuit.

The Conquest of the Microchip: Science and Business in the Silicon Age Hans Queisser The story behind the growth of a new industry, from a first-hand observer.

Crystal Fire: The Invention of the Transistor and the Birth of the Information Age Michael Riordan, Lillian Hoddeson Colorful history of how transistors and integrated circuits were invented.

The Essential Guide to Semiconductors Jim Turley Briefing written by an analyst on the semiconductor industry that includes technology, design, manufacturing, applications, and markets.

Microchip Fabrication: A Practical Guide to Semiconductor Processing (5th ed.) Peter Van Zant Readable, comprehensive textbook covering the key techniques used to manufacture semiconductors.

Investing In Solar Stocks Joseph Berwind A textbook that gives readers an introduction to solar and how to invest in the industry.

TRADE ASSOCIATIONS

Global Semiconductor Alliance (GSA) http://www.gsaglobal.org Industry association representing fabless semiconductor manufacturers.

Semiconductor Equipment and Materials International (SEMI) http://www.semi.org International trade association for the semiconductor equipment and materials industries.

Semiconductor Industry Association (SIA) http://www.sia-online.org International trade association of semiconductor manufacturing companies.

Page 39: S&P Semi Conductor

INDUSTRY SURVEYS SEMICONDUCTOR EQUIPMENT / MAY 12, 2011 37

RESEARCH ORGANIZATIONS

DisplaySearch http://www.displaysearch.com Worldwide leader in display market research and consulting.

Gartner Inc. http://www.gartner.com Information technology market research and consulting firm serving information technology suppliers and the financial and investment communities.

IC Insights Inc. http://www.icinsights.com Leading provider of market research and analysis for the integrated circuit industry.

International Data Corp. http://www.idc.com Leading provider of information technology data and industry analysis to the information technology industry.

The Information Network http://www.theinformationnet.com Provider of market research and analysis reports and services for the integrated circuit, computer, and telecommunications industries.

iSuppli Corp. http://www.iSuppli.com Covers the global electronics marketplace, from raw materials and manufacturing to systems and end consumption.

SEMATECH http://www.sematech.org Research consortium of 11 semiconductor companies. Website has news on advanced research developments, a schematic description of how a chip is made, and images of chipmaking tools in use.

Semico Research Corp. http://www.semico.com Market and engineering research company focused on semiconductor forecasts based on consumption of semiconductors in end-use markets.

VLSI Research Inc. http://www.vlsiresearch.com Performs market research and economic analysis on the semiconductor and semiconductor equipment industries.

GOVERNMENT AGENCIES

Federal Reserve Bank of St. Louis http://research.stlouisfed.org/fred2 Provides the FRED Economic Time-Series Database, a well-organized government source for a wide range of statistical economic data, including GDP, interest rates, and more.

COMPANY WEBSITES

Applied Materials Inc. http://www.appliedmaterials.com Shows photographs of front-end wafer process equipment in the “News” section, under “Features” and then “Product Features.”

Intel Corp. http://www.intel.com http://www.intel.com/museum/onlineexhibits.htm The Intel Museum (at the second website listed) describes how a chip is made, how transistors work, the history of the microprocessor, what a clean room is like, and other background information.

KLA-Tencor Corp. http://www.kla-tencor.com Photographs of various measurement, wafer inspection, and yield control tools. The company also has a quarterly electronic magazine on yield management under the “Company” link.

Kulicke & Soffa http://www.kns.com Displays images of back-end wafer process equipment.

Lam Research Corp. http://www.lamrc.com Shows pictures of etch, chemical mechanical polishing, and wafer cleaning tools.

Novellus Systems Inc. http://www.novellus.com Provides links to illustrated descriptions of fundamentals of the copper Damascene process for making chips.

Taiwan Semiconductor Manufacturing Co. Ltd. http://www.tsmc.com The world’s largest foundry provides monthly sales updates on its website (under “Investor Relations, Financials”); these are a leading indicator of impending industry turns.

Teradyne Inc. http://www.teradyne.com Displays pictures of automatic electronic testing equipment.

Page 40: S&P Semi Conductor

COMPARATIVE COMPANY ANALYSIS — SEMICONDUCTOR EQUIPMENT Operating Revenues

Million $ CAGR (%) Index Basis (2000 = 100)Ticker Company Yr. End 2010 2009 2008 2007 2006 2005 2000 10-Yr. 5-Yr. 1-Yr. 2010 2009 2008 2007 2006SEMICONDUCTOR EQUIPMENT‡AEIS § ADVANCED ENERGY INDS INC DEC 459.4 A,C 186.4 328.9 384.7 410.7 325.5 D 359.8 A 2.5 7.1 146.5 128 52 91 107 114AMAT [] APPLIED MATERIALS INC OCT 9,548.7 5,013.6 8,129.2 9,734.9 9,167.0 6,991.8 9,564.4 A (0.0) 6.4 90.5 100 52 85 102 96ATMI § ATMI INC DEC 367.3 A 254.7 335.4 A 364.1 325.9 281.8 300.0 2.0 5.4 44.2 122 85 112 121 109BRKS § BROOKS AUTOMATION INC SEP 593.0 218.7 526.4 743.3 D 692.9 A 463.7 C,D 321.1 A 6.3 5.0 171.1 185 68 164 231 216CCMP § CABOT MICROELECTRONICS CORP SEP 408.2 291.4 A 375.1 338.2 320.8 A 270.5 181.2 8.5 8.6 40.1 225 161 207 187 177

COHU § COHU INC DEC 322.7 171.3 199.7 A 241.4 270.1 D 238.9 289.6 C 1.1 6.2 88.4 111 59 69 83 93CYMI § CYMER INC DEC 534.2 307.7 459.0 521.7 543.9 383.6 367.5 3.8 6.8 73.6 145 84 125 142 148FEIC § FEI CO DEC 634.2 577.3 599.2 592.5 479.5 D 427.2 320.3 C 7.1 8.2 9.9 198 180 187 185 150KLAC [] KLA-TENCOR CORP JUN 1,820.8 1,520.2 2,521.7 A 2,731.2 2,070.6 2,085.2 1,498.8 2.0 (2.7) 19.8 121 101 168 182 138KLIC § KULICKE & SOFFA INDUSTRIES SEP 762.8 225.2 A 328.0 D 700.4 696.3 D 561.3 899.3 (1.6) 6.3 238.7 85 25 36 78 77

LRCX † LAM RESEARCH CORP JUN 2,133.8 1,115.9 2,474.9 A 2,566.6 1,642.2 1,502.5 1,230.8 5.7 7.3 91.2 173 91 201 209 133WFR [] MEMC ELECTRONIC MATRIALS INC DEC 2,239.2 1,163.6 A 2,004.5 1,921.8 1,540.6 1,107.4 871.6 9.9 15.1 92.4 257 133 230 220 177MKSI § MKS INSTRUMENTS INC DEC 853.1 D 411.4 647.0 780.5 782.8 509.3 327.0 A 10.1 10.9 107.4 261 126 198 239 239NVLS [] NOVELLUS SYSTEMS INC DEC 1,349.2 639.2 1,011.0 A 1,570.0 1,658.5 1,340.5 A 1,173.7 C 1.4 0.1 111.1 115 54 86 134 141RTEC § RUDOLPH TECHNOLOGIES INC DEC 195.3 78.7 131.0 160.1 A 201.2 A 82.9 88.1 C 8.3 18.7 148.3 222 89 149 182 228

TER [] TERADYNE INC DEC 1,608.7 819.4 1,107.0 A 1,102.3 D 1,376.8 1,075.2 D 3,043.9 C (6.2) 8.4 96.3 53 27 36 36 45TSRA § TESSERA TECHNOLOGIES INC DEC 301.4 299.4 248.3 195.7 A 208.7 A 94.7 A NA NA 26.1 0.7 ** ** ** ** NAUTEK § ULTRATECH INC DEC 140.6 95.8 131.7 112.3 119.6 122.4 146.7 C (0.4) 2.8 46.7 96 65 90 77 82VSEA † VARIAN SEMICONDUCTOR EQUIPMT SEP 831.8 362.1 834.1 1,054.9 730.7 581.6 687.7 1.9 7.4 129.7 121 53 121 153 106VECO § VEECO INSTRUMENTS INC DEC 933.2 D 380.1 442.8 402.5 441.0 410.2 386.7 A,C 9.2 17.9 145.5 241 98 115 104 114

OTHER COMPANIES WITH SIGNIFICANT SEMICONDUCTOR EQUIPMENT OPERATIONSAMKR AMKOR TECHNOLOGY INC DEC 2,939.5 2,179.1 2,658.6 2,739.4 2,728.6 2,099.9 2,387.3 A 2.1 7.0 34.9 123 91 111 115 114ASMI ASM INTERNATIONAL NV DEC 1,622.7 846.6 1,040.3 1,394.9 1,158.0 D 860.2 878.0 6.3 13.5 91.7 185 96 118 159 132AXTI AXT INC DEC 95.5 55.4 73.1 58.0 44.4 26.5 121.5 D (2.4) 29.2 72.5 79 46 60 48 37ENTG ENTEGRIS INC DEC 688.4 398.6 C 554.7 626.2 D 678.7 D 367.1 A 343.5 7.2 13.4 72.7 200 116 162 182 198KEI KEITHLEY INSTRUMENTS INC SEP 126.9 102.5 152.5 143.7 155.2 141.6 150.6 (1.7) (2.2) 23.7 84 68 101 95 103

Note: Data as originally reported. CAGR-Compound annual growth rate. ‡S&P 1500 index group. []Company included in the S&P 500. †Company included in the S&P MidCap 400. §Company included in the S&P SmallCap 600. #Of the following calendar year. **Not calculated; data for base year or end year not available. A - This year's data reflect an acquisition or merger. B - This year's data reflect a major merger resulting in the formation of a new company. C - This year's data reflect an accounting change. D - Data exclude discontinued operations. E - Includes excise taxes. F - Includes other (nonoperating) income. G - Includes sale of leased depts. H - Some or all data are not available, due to a fiscal year change.

SEMICONDUCTOR EQUIPMENT INDUSTRY SURVEY Data by Standard & Poor's Compustat — A Division of The McGraw-Hill Companies

Page 41: S&P Semi Conductor

Net IncomeMillion $ CAGR (%) Index Basis (2000 = 100)

Ticker Company Yr. End 2010 2009 2008 2007 2006 2005 2000 10-Yr. 5-Yr. 1-Yr. 2010 2009 2008 2007 2006SEMICONDUCTOR EQUIPMENT‡AEIS § ADVANCED ENERGY INDS INC DEC 53.6 (102.7) (1.8) 34.4 87.2 3.6 60.4 (1.2) 71.4 NM 89 (170) (3) 57 144AMAT [] APPLIED MATERIALS INC OCT 937.9 (305.3) 960.7 1,710.2 1,516.7 1,209.9 2,063.6 (7.6) (5.0) NM 45 (15) 47 83 73ATMI § ATMI INC DEC 39.5 (6.7) 33.3 40.5 40.0 30.7 43.7 (1.0) 5.2 NM 90 (15) 76 93 91BRKS § BROOKS AUTOMATION INC SEP 59.0 (227.9) (236.6) 54.3 25.8 (8.1) 12.8 16.5 NM NM 462 (1,787) (1,855) 426 203CCMP § CABOT MICROELECTRONICS CORP SEP 49.5 11.2 38.3 33.8 32.9 32.5 30.5 5.0 8.8 342.1 162 37 126 111 108

COHU § COHU INC DEC 24.6 (28.2) (5.4) 8.0 18.6 34.0 37.0 (4.0) (6.2) NM 67 (76) (15) 22 50CYMI § CYMER INC DEC 91.0 12.0 36.5 88.4 95.6 46.6 63.8 3.6 14.3 659.5 143 19 57 139 150FEIC § FEI CO DEC 53.5 22.6 24.3 57.9 17.7 (78.2) 20.8 9.9 NM 136.5 257 109 117 278 85KLAC [] KLA-TENCOR CORP JUN 212.3 (523.4) 359.1 528.1 380.5 466.7 253.8 (1.8) (14.6) NM 84 (206) 141 208 150KLIC § KULICKE & SOFFA INDUSTRIES SEP 142.1 (58.0) (19.6) 37.7 77.0 (104.1) 103.2 3.2 NM NM 138 (56) (19) 37 75

LRCX † LAM RESEARCH CORP JUN 346.7 (302.1) 439.3 685.8 335.8 299.3 204.8 5.4 3.0 NM 169 (148) 215 335 164WFR [] MEMC ELECTRONIC MATRIALS INC DEC 34.4 (68.3) 387.4 826.2 369.3 249.4 (43.4) NM (32.7) NM NM NM NM NM NMMKSI § MKS INSTRUMENTS INC DEC 132.9 (212.7) 30.1 86.4 94.2 34.6 46.2 11.1 30.9 NM 287 (460) 65 187 204NVLS [] NOVELLUS SYSTEMS INC DEC 262.3 (85.2) (115.7) 213.7 189.1 110.1 235.7 1.1 19.0 NM 111 (36) (49) 91 80RTEC § RUDOLPH TECHNOLOGIES INC DEC 27.0 (29.6) (249.7) 11.9 12.7 5.0 25.0 0.8 40.3 NM 108 (118) (997) 47 51

TER [] TERADYNE INC DEC 379.7 (133.8) (398.6) 71.9 202.6 (60.5) 517.8 (3.1) NM NM 73 (26) (77) 14 39TSRA § TESSERA TECHNOLOGIES INC DEC 57.3 69.8 4.6 45.1 61.4 31.4 NA NA 12.8 (17.8) ** ** ** ** NAUTEK § ULTRATECH INC DEC 16.8 2.1 11.8 (1.0) (9.0) (1.2) 9.7 5.6 NM 688.2 173 22 121 (11) (92)VSEA † VARIAN SEMICONDUCTOR EQUIPMT SEP 159.6 (38.0) 98.4 142.2 94.7 72.0 98.9 4.9 17.3 NM 161 (38) 100 144 96VECO § VEECO INSTRUMENTS INC DEC 260.5 (15.6) (71.1) (17.4) 14.9 (0.9) 0.5 NM NM NM NM (3,101) NM (3,458) 2,972

OTHER COMPANIES WITH SIGNIFICANT SEMICONDUCTOR EQUIPMENT OPERATIONSAMKR AMKOR TECHNOLOGY INC DEC 232.0 156.0 (456.7) 219.9 170.1 (137.2) 154.2 4.2 NM 48.7 150 101 (296) 143 110ASMI ASM INTERNATIONAL NV DEC 146.8 (152.7) 25.6 89.0 72.2 (47.6) 92.1 4.8 NM NM 159 (166) 28 97 78AXTI AXT INC DEC 18.7 (1.9) (0.7) 5.3 0.9 (12.8) 24.4 (2.7) NM NM 76 (8) (3) 22 4ENTG ENTEGRIS INC DEC 84.4 (57.7) (515.9) 46.4 62.4 9.4 51.7 5.0 55.1 NM 163 (112) (997) 90 121KEI KEITHLEY INSTRUMENTS INC SEP 24.9 (50.5) (2.6) (0.3) 8.4 10.1 21.0 1.7 19.7 NM 118 (240) (12) (2) 40

Note: Data as originally reported. CAGR-Compound annual growth rate. ‡S&P 1500 index group. []Company included in the S&P 500. †Company included in the S&P MidCap 400. §Company included in the S&P SmallCap 600. #Of the following calendar year. **Not calculated; data for base year or end year not available.

SEMICONDUCTOR EQUIPMENT INDUSTRY SURVEY Data by Standard & Poor's Compustat — A Division of The McGraw-Hill Companies

Page 42: S&P Semi Conductor

Return on Revenues (%) Return on Assets (%) Return on Equity (%)Ticker Company Yr. End 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006

SEMICONDUCTOR EQUIPMENT‡AEIS § ADVANCED ENERGY INDS INC DEC 11.7 NM NM 8.9 21.2 12.6 NM NM 7.9 24.2 16.4 NM NM 9.0 28.4AMAT [] APPLIED MATERIALS INC OCT 9.8 NM 11.8 17.6 16.5 9.1 NM 8.9 17.0 14.6 12.8 NM 12.5 23.6 19.5ATMI § ATMI INC DEC 10.8 NM 9.9 11.1 12.3 8.0 NM 7.1 8.3 8.1 9.1 NM 7.9 9.3 9.0BRKS § BROOKS AUTOMATION INC SEP 9.9 NM NM 7.3 3.7 12.7 NM NM 5.4 3.2 16.7 NM NM 6.5 4.7CCMP § CABOT MICROELECTRONICS CORP SEP 12.1 3.8 10.2 10.0 10.3 9.1 2.3 8.2 7.8 8.2 10.0 2.5 9.0 8.7 9.3

COHU § COHU INC DEC 7.6 NM NM 3.3 6.9 7.1 NM NM 2.4 5.9 9.3 NM NM 2.9 7.1CYMI § CYMER INC DEC 17.0 3.9 8.0 16.9 17.6 12.5 1.7 4.7 10.1 11.0 15.4 2.3 7.2 14.8 15.6FEIC § FEI CO DEC 8.4 3.9 4.1 9.8 3.7 5.5 2.5 2.6 6.3 2.4 8.9 4.2 4.8 13.8 5.5KLAC [] KLA-TENCOR CORP JUN 11.7 NM 14.2 19.3 18.4 5.6 NM 7.6 11.5 8.9 9.6 NM 11.0 14.8 11.5KLIC § KULICKE & SOFFA INDUSTRIES SEP 18.6 NM NM 5.4 11.1 28.6 NM NM 8.2 19.5 59.7 NM NM 46.4 323.9

LRCX † LAM RESEARCH CORP JUN 16.2 NM 17.8 26.7 20.4 15.6 NM 17.9 31.1 17.8 21.5 NM 29.7 53.3 27.3WFR [] MEMC ELECTRONIC MATRIALS INC DEC 1.5 NM 19.3 43.0 24.0 0.8 NM 13.3 35.5 25.3 1.6 NM 18.8 51.6 39.3MKSI § MKS INSTRUMENTS INC DEC 15.6 NM 4.7 11.1 12.0 15.1 NM 2.9 8.1 9.9 17.4 NM 3.3 9.3 11.3NVLS [] NOVELLUS SYSTEMS INC DEC 19.4 NM NM 13.6 11.4 15.5 NM NM 9.6 8.1 20.9 NM NM 12.7 10.5RTEC § RUDOLPH TECHNOLOGIES INC DEC 13.8 NM NM 7.4 6.3 13.6 NM NM 2.6 4.1 16.1 NM NM 2.9 4.6

TER [] TERADYNE INC DEC 23.6 NM NM 6.5 14.7 24.9 NM NM 4.4 11.3 42.5 NM NM 5.6 15.6TSRA § TESSERA TECHNOLOGIES INC DEC 19.0 23.3 1.9 23.1 29.4 8.6 12.5 1.0 11.8 24.0 9.3 13.7 1.1 12.5 25.3UTEK § ULTRATECH INC DEC 11.9 2.2 8.9 NM NM 6.5 0.9 5.3 NM NM 7.8 1.1 6.4 NM NMVSEA † VARIAN SEMICONDUCTOR EQUIPMT SEP 19.2 NM 11.8 13.5 13.0 19.8 NM 13.1 16.4 10.5 26.3 NM 18.2 21.5 13.1VECO § VEECO INSTRUMENTS INC DEC 27.9 NM NM NM 3.4 29.7 NM NM NM 2.6 46.5 NM NM NM 5.6

OTHER COMPANIES WITH SIGNIFICANT SEMICONDUCTOR EQUIPMENT OPERATIONSAMKR AMKOR TECHNOLOGY INC DEC 7.9 7.2 NM 8.0 6.2 9.0 6.5 NM 7.1 5.7 45.8 50.3 NM 41.9 55.1ASMI ASM INTERNATIONAL NV DEC 9.0 NM 2.5 6.4 6.2 10.4 NM 2.2 7.7 7.0 32.9 NM 5.6 21.4 22.3AXTI AXT INC DEC 19.5 NM NM 9.1 2.1 14.9 NM NM 4.8 0.9 18.2 NM NM 6.1 1.2ENTG ENTEGRIS INC DEC 12.3 NM NM 7.4 9.2 15.3 NM NM 4.2 5.3 20.9 NM NM 5.0 6.1KEI KEITHLEY INSTRUMENTS INC SEP 19.6 NM NM NM 5.4 28.0 NM NM NM 5.7 53.2 NM NM NM 7.3

Note: Data as originally reported. ‡S&P 1500 index group. []Company included in the S&P 500. †Company included in the S&P MidCap 400. §Company included in the S&P SmallCap 600. #Of the following calendar year.

SEMICONDUCTOR EQUIPMENT INDUSTRY SURVEY Data by Standard & Poor's Compustat — A Division of The McGraw-Hill Companies

Page 43: S&P Semi Conductor

Debt as a % ofCurrent Ratio Debt / Capital Ratio (%) Net Working Capital

Ticker Company Yr. End 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006

SEMICONDUCTOR EQUIPMENT‡AEIS § ADVANCED ENERGY INDS INC DEC 3.5 5.8 8.5 8.3 5.7 0.0 0.0 0.0 0.0 0.1 0.0 0.0 0.0 0.0 0.1AMAT [] APPLIED MATERIALS INC OCT 2.3 2.9 2.3 2.8 2.5 2.6 2.7 2.6 2.5 3.0 5.3 5.4 5.4 4.8 5.6ATMI § ATMI INC DEC 6.1 7.9 7.8 6.9 6.5 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.1 0.0BRKS § BROOKS AUTOMATION INC SEP 3.0 3.1 3.3 3.4 2.4 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0CCMP § CABOT MICROELECTRONICS CORP SEP 7.1 8.0 8.7 8.5 6.7 0.0 0.3 0.6 0.9 1.2 0.0 0.5 0.9 1.3 2.0

COHU § COHU INC DEC 3.4 3.6 4.6 5.7 5.7 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0CYMI § CYMER INC DEC 4.9 4.9 2.7 5.8 7.9 0.0 0.0 0.0 21.7 16.8 0.0 0.0 0.0 28.2 20.5FEIC § FEI CO DEC 3.2 2.7 3.3 2.2 3.8 12.4 15.0 18.3 19.1 46.8 18.4 23.2 32.9 27.2 65.1KLAC [] KLA-TENCOR CORP JUN 3.7 4.3 3.2 3.0 3.5 24.9 25.4 20.0 0.0 0.0 36.1 40.6 35.7 0.0 0.0KLIC § KULICKE & SOFFA INDUSTRIES SEP 3.8 2.4 2.6 3.0 3.6 22.3 39.3 58.5 70.3 65.0 28.3 63.8 67.5 86.2 78.3

LRCX † LAM RESEARCH CORP JUN 3.1 3.5 3.0 2.1 3.0 1.0 2.7 13.4 17.5 20.0 1.5 4.8 21.6 33.6 30.7WFR [] MEMC ELECTRONIC MATRIALS INC DEC 1.4 2.5 3.1 3.6 3.5 21.3 15.1 1.2 1.2 2.4 134.7 51.9 2.7 2.2 4.6MKSI § MKS INSTRUMENTS INC DEC 6.9 7.5 7.0 6.4 4.6 0.0 0.0 0.0 0.6 0.7 0.0 0.0 0.1 1.1 1.3NVLS [] NOVELLUS SYSTEMS INC DEC 4.1 5.2 3.4 3.7 4.2 7.4 8.8 0.0 8.6 6.5 11.6 15.7 0.0 16.0 11.2RTEC § RUDOLPH TECHNOLOGIES INC DEC 7.0 7.5 10.4 7.5 6.5 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0

TER [] TERADYNE INC DEC 3.2 2.7 1.8 4.2 3.4 11.7 17.3 0.0 0.0 0.0 18.5 29.5 0.0 0.0 0.0TSRA § TESSERA TECHNOLOGIES INC DEC 13.8 12.6 7.2 17.1 14.3 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0UTEK § ULTRATECH INC DEC 5.8 7.7 7.3 5.8 4.1 0.1 0.1 0.2 0.3 0.0 0.1 0.1 0.2 0.3 0.0VSEA † VARIAN SEMICONDUCTOR EQUIPMT SEP 4.6 6.0 4.8 3.5 4.5 0.1 0.3 0.4 0.5 0.4 0.2 0.4 0.5 0.6 0.6VECO § VEECO INSTRUMENTS INC DEC 2.7 3.3 2.7 2.4 3.5 0.3 21.7 33.1 30.3 41.6 0.4 31.8 64.5 69.4 82.1

OTHER COMPANIES WITH SIGNIFICANT SEMICONDUCTOR EQUIPMENT OPERATIONSAMKR AMKOR TECHNOLOGY INC DEC 1.4 1.5 1.6 1.5 1.3 65.7 77.8 85.5 70.8 82.0 418.9 411.3 469.9 519.3 846.1ASMI ASM INTERNATIONAL NV DEC 2.2 2.8 3.0 2.6 2.6 24.7 46.4 22.7 26.0 34.0 26.5 49.8 35.0 39.5 52.9AXTI AXT INC DEC 6.5 7.9 5.7 8.9 9.6 0.0 0.4 0.5 6.3 7.8 0.0 0.6 0.7 8.3 10.3ENTG ENTEGRIS INC DEC 3.6 3.6 3.9 3.0 5.3 0.0 13.2 30.9 2.3 0.3 0.0 27.1 64.4 7.9 0.7KEI KEITHLEY INSTRUMENTS INC SEP 3.4 2.9 3.3 3.8 4.2 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0 0.0

Note: Data as originally reported. ‡S&P 1500 index group. []Company included in the S&P 500. †Company included in the S&P MidCap 400. §Company included in the S&P SmallCap 600. #Of the following calendar year.

SEMICONDUCTOR EQUIPMENT INDUSTRY SURVEY Data by Standard & Poor's Compustat — A Division of The McGraw-Hill Companies

Page 44: S&P Semi Conductor

Price / Earnings Ratio (High-Low) Dividend Payout Ratio (%) Dividend Yield (High-Low, %)Ticker Company Yr. End 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006

SEMICONDUCTOR EQUIPMENT‡AEIS § ADVANCED ENERGY INDS INC DEC 15 - 9 NM - NM NM - NM 34 - 16 10 - 6 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0AMAT [] APPLIED MATERIALS INC OCT 21 - 15 NM - NM 31 - 10 19 - 14 21 - 15 37 NM 34 18 16 2.5 - 1.7 2.9 - 1.7 3.3 - 1.1 1.3 - 1.0 1.1 - 0.8ATMI § ATMI INC DEC 17 - 10 NM - NM 31 - 8 30 - 23 32 - 20 0 NM 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0BRKS § BROOKS AUTOMATION INC SEP 12 - 6 NM - NM NM - NM 27 - 16 50 - 29 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0CCMP § CABOT MICROELECTRONICS CORP SEP 20 - 14 76 - 38 26 - 12 33 - 21 28 - 19 0 0 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0

COHU § COHU INC DEC 17 - 11 NM - NM NM - NM 68 - 40 36 - 17 23 NM NM 69 29 2.2 - 1.4 3.4 - 1.7 2.6 - 1.2 1.7 - 1.0 1.7 - 0.8CYMI § CYMER INC DEC 15 - 9 NM - 42 32 - 15 18 - 13 22 - 13 0 0 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0FEIC § FEI CO DEC 19 - 12 44 - 19 44 - 24 24 - 15 53 - 36 0 0 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0KLAC [] KLA-TENCOR CORP JUN 33 - 22 NM - NM 24 - 7 23 - 17 29 - 20 48 NM 30 18 25 2.2 - 1.5 3.9 - 1.6 4.1 - 1.2 1.0 - 0.8 1.3 - 0.9KLIC § KULICKE & SOFFA INDUSTRIES SEP 5 - 2 NM - NM NM - NM 19 - 10 9 - 5 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0

LRCX † LAM RESEARCH CORP JUN 19 - 12 NM - NM 13 - 4 12 - 9 24 - 15 0 NM 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0WFR [] MEMC ELECTRONIC MATRIALS INC DEC NM - 61 NM - NM 53 - 6 26 - 11 29 - 14 0 NM 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0MKSI § MKS INSTRUMENTS INC DEC 9 - 6 NM - NM 42 - 19 19 - 11 15 - 10 0 NM 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0NVLS [] NOVELLUS SYSTEMS INC DEC 12 - 7 NM - NM NM - NM 20 - 14 23 - 15 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0RTEC § RUDOLPH TECHNOLOGIES INC DEC 13 - 7 NM - NM NM - NM 45 - 24 43 - 27 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0

TER [] TERADYNE INC DEC 7 - 4 NM - NM NM - NM 48 - 26 17 - 11 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0TSRA § TESSERA TECHNOLOGIES INC DEC 21 - 13 22 - 7 NM - 83 49 - 33 31 - 18 0 0 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0UTEK § ULTRATECH INC DEC 31 - 18 NM - NM 34 - 17 NM - NM NM - NM 0 0 0 NM NM 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0VSEA † VARIAN SEMICONDUCTOR EQUIPMT SEP 18 - 11 NM - NM 31 - 10 33 - 15 28 - 15 0 NM 0 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0VECO § VEECO INSTRUMENTS INC DEC 8 - 4 NM - NM NM - NM NM - NM 56 - 35 0 NM NM NM 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0

OTHER COMPANIES WITH SIGNIFICANT SEMICONDUCTOR EQUIPMENT OPERATIONSAMKR AMKOR TECHNOLOGY INC DEC 7 - 4 9 - 2 NM - NM 13 - 6 14 - 5 0 0 NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0ASMI ASM INTERNATIONAL NV DEC 13 - 7 NM - NM 69 - 13 18 - 13 16 - 10 0 NM 0 8 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.7 - 0.4 0.0 - 0.0AXTI AXT INC DEC 18 - 4 NM - NM NM - NM 40 - 21 NM - 63 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0ENTG ENTEGRIS INC DEC 12 - 6 NM - NM NM - NM 32 - 21 26 - 18 0 NM NM 0 0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0 0.0 - 0.0KEI KEITHLEY INSTRUMENTS INC SEP 14 - 3 NM - NM NM - NM NM - NM 32 - 21 6 NM NM NM 29 2.2 - 0.5 5.4 - 1.5 7.4 - 1.3 1.7 - 0.9 1.4 - 0.9

Note: Data as originally reported. ‡S&P 1500 index group. []Company included in the S&P 500. †Company included in the S&P MidCap 400. §Company included in the S&P SmallCap 600. #Of the following calendar year.

20062010 2009 2008 2007

SEMICONDUCTOR EQUIPMENT INDUSTRY SURVEY Data by Standard & Poor's Compustat — A Division of The McGraw-Hill Companies

Page 45: S&P Semi Conductor

Earnings per Share ($) Tangible Book Value per Share ($) Share Price (High-Low, $)Ticker Company Yr. End 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006 2010 2009 2008 2007 2006

SEMICONDUCTOR EQUIPMENT‡AEIS § ADVANCED ENERGY INDS INC DEC 1.25 (2.45) (0.04) 0.76 1.95 6.40 6.48 7.26 7.49 6.47 18.53 - 10.93 15.30 - 5.36 16.98 - 5.73 25.97 - 12.18 19.33 - 11.50AMAT [] APPLIED MATERIALS INC OCT 0.70 (0.23) 0.71 1.22 0.98 4.45 4.19 4.50 4.65 4.22 14.94 - 10.27 14.22 - 8.19 21.75 - 7.17 23.00 - 17.35 21.06 - 14.39ATMI § ATMI INC DEC 1.26 (0.21) 1.06 1.19 1.11 12.14 11.31 11.14 12.16 11.56 22.05 - 12.13 19.77 - 11.80 32.53 - 8.70 36.05 - 27.51 35.30 - 21.72BRKS § BROOKS AUTOMATION INC SEP 0.92 (3.62) (3.67) 0.74 0.36 5.04 3.99 5.72 6.58 4.69 10.82 - 5.46 9.11 - 3.30 13.39 - 2.52 20.08 - 11.74 17.83 - 10.56CCMP § CABOT MICROELECTRONICS CORP SEP 2.14 0.48 1.64 1.42 1.36 19.91 17.58 18.02 16.43 14.68 42.92 - 29.60 36.38 - 18.47 43.18 - 19.51 46.61 - 29.67 38.45 - 25.60

COHU § COHU INC DEC 1.04 (1.20) (0.23) 0.35 0.82 7.91 6.80 7.87 11.30 11.10 17.35 - 11.16 14.45 - 7.00 20.52 - 9.13 23.70 - 14.11 29.48 - 14.16CYMI § CYMER INC DEC 3.05 0.40 1.22 2.64 2.53 20.85 17.46 16.85 15.90 17.81 46.25 - 28.31 39.99 - 16.66 38.90 - 18.36 46.68 - 35.10 56.69 - 33.75FEIC § FEI CO DEC 1.41 0.60 0.66 1.62 0.52 15.39 13.68 12.68 12.06 8.91 26.79 - 16.51 26.50 - 11.36 29.14 - 15.87 39.25 - 24.01 27.37 - 18.78KLAC [] KLA-TENCOR CORP JUN 1.24 (3.07) 1.99 2.68 1.92 10.72 10.00 11.96 16.00 17.56 40.44 - 26.69 37.71 - 15.27 48.35 - 14.81 62.67 - 46.59 55.03 - 38.38KLIC § KULICKE & SOFFA INDUSTRIES SEP 2.01 (0.93) (0.37) 0.67 1.40 3.64 1.13 1.85 0.93 0.87 9.58 - 4.55 6.68 - 1.15 7.95 - 1.11 12.46 - 6.47 12.50 - 6.50

LRCX † LAM RESEARCH CORP JUN 2.73 (2.41) 3.52 4.94 2.42 12.16 9.42 10.99 8.46 9.85 J 52.91 - 32.07 39.80 - 18.24 44.73 - 14.72 60.82 - 42.67 57.05 - 35.44WFR [] MEMC ELECTRONIC MATRIALS INC DEC 0.15 (0.31) 1.71 3.66 1.66 8.15 8.08 9.27 8.87 5.23 16.99 - 9.19 21.36 - 11.32 91.45 - 10.00 96.08 - 39.51 48.90 - 22.60MKSI § MKS INSTRUMENTS INC DEC 2.66 (4.31) 0.61 1.53 1.70 13.92 10.81 10.71 10.70 9.43 24.88 - 15.94 20.60 - 11.38 25.88 - 11.76 28.47 - 16.94 24.97 - 17.05NVLS [] NOVELLUS SYSTEMS INC DEC 2.83 (0.88) (1.18) 1.78 1.51 13.05 10.67 11.32 11.98 12.54 33.24 - 20.57 26.00 - 11.43 27.66 - 10.26 34.97 - 25.40 35.00 - 22.28RTEC § RUDOLPH TECHNOLOGIES INC DEC 0.86 (0.96) (8.16) 0.41 0.47 5.41 4.38 5.36 6.05 7.13 10.98 - 6.14 8.46 - 1.95 11.45 - 2.03 18.29 - 10.03 20.15 - 12.75

TER [] TERADYNE INC DEC 2.11 (0.77) (2.34) 0.39 1.04 5.49 2.93 3.06 6.70 6.84 14.44 - 8.84 10.96 - 3.24 14.50 - 2.80 18.53 - 10.02 18.08 - 11.50TSRA § TESSERA TECHNOLOGIES INC DEC 1.15 1.43 0.10 0.95 1.33 10.38 9.01 6.91 6.83 5.18 24.29 - 14.96 32.17 - 10.13 44.97 - 8.33 46.43 - 31.35 41.40 - 24.58UTEK § ULTRATECH INC DEC 0.69 0.09 0.50 (0.04) (0.38) 9.32 8.36 8.19 7.59 7.50 21.29 - 12.23 16.00 - 9.74 17.20 - 8.67 16.78 - 10.79 25.03 - 10.56VSEA † VARIAN SEMICONDUCTOR EQUIPMT SEP 2.15 (0.53) 1.34 1.77 1.12 9.34 6.91 7.00 7.31 8.91 37.76 - 24.40 36.63 - 15.96 41.16 - 14.05 58.17 - 26.39 30.98 - 17.25VECO § VEECO INSTRUMENTS INC DEC 6.60 (0.48) (2.27) (0.56) 0.49 17.69 6.92 3.63 3.55 3.60 54.50 - 29.21 34.84 - 3.22 19.82 - 3.53 22.28 - 15.47 27.55 - 17.33

OTHER COMPANIES WITH SIGNIFICANT SEMICONDUCTOR EQUIPMENT OPERATIONSAMKR AMKOR TECHNOLOGY INC DEC 1.26 0.85 (2.50) 1.22 0.96 3.36 2.04 1.23 (0.22) (1.73) 8.81 - 5.05 7.70 - 1.60 12.70 - 1.33 16.29 - 7.60 13.09 - 4.61ASMI ASM INTERNATIONAL NV DEC 2.80 (2.95) 0.49 1.65 1.35 8.87 5.13 7.06 7.19 5.32 35.61 - 18.64 25.75 - 6.23 33.60 - 6.35 30.50 - 20.70 21.50 - 13.65AXTI AXT INC DEC 0.60 (0.07) (0.03) 0.17 0.03 3.52 2.95 2.95 2.96 2.68 10.74 - 2.65 3.40 - 0.68 7.20 - 0.86 6.84 - 3.53 5.49 - 1.90ENTG ENTEGRIS INC DEC 0.64 (0.49) (4.58) 0.38 0.46 2.97 2.06 2.15 3.24 4.14 7.72 - 3.61 5.75 - 0.50 8.76 - 1.04 12.18 - 7.87 12.00 - 8.37KEI KEITHLEY INSTRUMENTS INC SEP 1.58 (3.23) (0.16) (0.02) 0.51 3.66 2.30 6.60 6.98 7.28 21.65 - 4.50 6.87 - 1.86 11.86 - 2.02 16.45 - 8.70 16.10 - 10.77

Note: Data as originally reported. ‡S&P 1500 index group. []Company included in the S&P 500. †Company included in the S&P MidCap 400. §Company included in the S&P SmallCap 600. #Of the following calendar year. J-This amount includes intangibles that cannot be identified.

The analysis and opinion set forth in this publication are provided by Standard & Poor’s Equity Research Services and are prepared separately from any other analytic activity of Standard & Poor’s.In this regard, Standard & Poor’s Equity Research Services has no access to nonpublic information received by other units of Standard & Poor’s. The accuracy and completeness of information obtained from third-party sources, and the opinions based on such information, are not guaranteed.

SEMICONDUCTOR EQUIPMENT INDUSTRY SURVEY Data by Standard & Poor's Compustat — A Division of The McGraw-Hill Companies