PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In...

62
PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH NANOPATTERNING FROM N7 DOWN TO N3 NODES & BEYOND(?): MATERIALS CHEMISTRY DESIGN PRINCIPLES FOR HITECH IC FAB Kenneth E. Gonsalves Indian Institute of Technology HP, India Davidson NC USA Florida Institute of Technology March 2, 2021 online virtual presentation RAITH EBL/AFM image of IIT Mandi logo

Transcript of PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In...

Page 1: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH NANOPATTERNING FROM N7 DOWN TO N3 NODES & BEYOND(?): MATERIALS CHEMISTRY DESIGN PRINCIPLES FOR HITECH IC FAB

Kenneth E. Gonsalves

Indian Institute of Technology

HP, India

Davidson NC USA

Florida Institute of Technology March 2, 2021 online virtual presentation

RAITH EBL/AFM image of IIT Mandi logo

Page 2: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Brief Outline of the Presentation

❖ INFRASTRUCTURE and GLOBAL COLLABORATIONS

❖ Semiconductor Technology Advancement

❖ Next Generation Lithography Roadmap for HVM

❖ Resists Technology Challenges

❖ Designed & Developed Resist Formulations for NGL: EBL, HIM => EUV

❖ High Resolution Various L/S Patterning on Designed & Developed Resists Formulations

❖ Summary/recommendations

Page 3: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

3

• The semiconductor industry is approaching over $400B/yr in sales

The IC Market

Transportation/

Infrastructure

Autonomous vehicles

(Artificial Intelligence) Consumer Electronics

Communications

5/6G Data networks

Internet of ”things”

Computers

42%

Industrial

Defense

Medical &Health

Page 4: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features
Page 5: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Smaller, Faster, Better (IC) Chips

➢ Significant gains have accrued from several new forms of

technology, including improved materials and methods to correct

for distortions that occur from optical diffraction when patterning

the chips.

➢ In 2000 the semiconductor industry began producing

“nanochips”-chips with features measuring less than 100 nm

(roughly one thousandth the thickness of a Human hair).These

devices are found in the average desktop computer today. The

64 nm, 45 nm, 32 nm, 22 nm, 14 nm, 10 nm, 7 nm

5 nm nodes have been achieved for large scale semiconductor

fabrication down to 3 nm by 2022. Sub 3 nm nodes targeted. TSMC

2 nm node on track for HVM fab. foundry

➢ Reducing the size of features boost speed and improves the

economics of manufacture by allowing more transistors (often

more than 50 million) to be put on a single chip. A typical

microprocessor will thus contain about 10 times that number. Now

in billions!

Page 6: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

6

Page 7: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Semiconductor Technology Advancement on NGLL

ook

ing f

or

Fu

ture

~ N

7+

Innovations in process technology, materials, and device design

will sustain the Si revolution to next generation technology nodeSemiconductor Market Vs Technology Node

Despite a slower rate of new technologies development, the

futuristic sub 10 nm semiconductor chip will have shown the most

rapid growth through 2025. Especially, combined with sub-16nm

chips, this technology will account for nearly half the global

market in just over five yearsEUV sources are still under development phase. Thus, there is a limited access for resists developer to run the

experiments, needed to develop materials………

….???7

Page 8: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Semiconductor IC fabrication technology/HVM

Page 9: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Optical Engineering:Photolithography

Page 10: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Exposure Conditions:

Si wafer primed with HMDS

Solvent: Cyclohexanone/PGMEA

Film Thickness: 150-180 nm

Post Apply Bake : 100oC/90 s

Exposure : 193 nm (0.63 NA)

Post Exposure Bake:100oC/90 s

Development : 2.38 wt% TMAH, 20s

193 nm Photolithography

ASML PAS 5500-950B 193 nm

Step and Scan System (ArF Excimer)

Triangle National Lithography Center

Page 11: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Ref: https://www.extremetech.com/computing/237781-samsungs-10nm-node-socs-now-in-mass-production

Foundry Futures : TSMC, Samsung & Intel Gear Up for 7 nm and Beyond

tools ASML EUV Stepper

Page 12: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Standard cell pattern of metal layer in 7 nm logic patterned byArF-i MPT and EUV

EUV Advantages :-

➢ EUV provides better pattern fidelity which allows higher design flexibility, better performance and scalability.

➢ From HVM perspective EUV reduces the # of masks, which reduces cost and improves efficiency.

Standard cell pattern of Metal layer in 7nm logic patterned by ArF-i multiple pattering

and EUV single exposure

7nm node technology

Page 13: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

NGL - He+ (HIBL) & e- (EBL) Prelude to HVM EUVL Technology (with resists)N

ext

Gen

era

tion

Lit

ho

gra

ph

y P

relu

de

to

EU

VL

Tec

hn

olo

gy

Fig(a), with the dose ratios, it can been clearly seen that the photoresists

sensitivity are much lower with EBL in comparison to the EUV exposure

(EBL required dose is higher contrast to EUV).* For inorganic resists (example: HSQ) EBL dose is 20 times than that of EUV dose; [2]

* For Organic acrylate resists system (example: PMMA) EBL dose is 42 times than that of

EUV dose. [2, 3]

* In the case of n-CAR and CAR resists EBL doses are 5.60 and 13.33 times higher than

EUV doses. [4-6]

(a)

(b)While the difference between of HIBL and EUV dose is in

nearly close agreement range [Fig(b)].

*For inorganic resists (example: HSQ) HIBL dose is only 0.3 times of EUV dose; [2]

*For Organic acrylate resists system (example: PMMA) HIBL dose is 0.32 times of EUV

dose. [2,3]

*In the case of n-CAR and CAR resists HIBL doses are 1.66 and 2.66 times of EUV doses.[4-6]

Therefore, the comparison showed in Fig. (a) & (b), claims that the HIBL is

more appropriate tool for screening of potential EUVL resist than the EBL tool .

Dose ratio comparison for different type of photoresists, (a) EBL dose : EUV dose, (b) HIBL dose : EUV dose

13

Page 14: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

➢ Light-sensitive:

polymers/macromolecules/molecules/hybrids/inorganics

➢ Changes in their chemical structure when exposed to

radiation/UV-light.

➢ Contain light-sensitive chemical functionality - allow image

transfer onto a silicon wafer.

➢ Two types: positive and negative

Photoresists: PROTOTYPES FOR FAB- sub 7 nm nodes

Page 15: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Resist Development and Formulation: Production facility at Advanced Materials Research Center (AMRC) &

Materials Synthesis and scaleup facility @ IIT Mandi, India

Facility for photosensitive

compound production

Bulk scale production of polymers

and allied chemicals

Quality control: Viscosity tuning Yellow room for resist formulation

500 MHz NMR

Single Crystal X-Ray Diffractometer

High Resolution Mass Spectrometer (HRMS) Quality control: Moisture titrator

Resist for sharp wall patterningGPC Instrument

Facility for photosensitive

compound production

Page 16: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Reactive Ion Etching (RIE)

He+ Ion Beam Lithography (HIBL) Electron Beam Lithography (EBL)

Fe-SEM Ellipsometry

Center for Design & Fabrication of Electronic Devices (C4DFED), IIT Mandi, India

Page 17: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Keithley & Probe Station

Mask Aligner Lithography System Mask less Lithography System

Atomic Force Microscope3D Profilometer

C4DFED

Page 18: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features
Page 19: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

February 2020

SIRIUS, the New Brazilian Synchrotron Light Source LNLS

Sirius: 4th Generation Storage Ring

Collaboration with Prof Weibel UFRGS School of Chemical Physics

Support: CNPq, CAPES, LNLS Brazil

Accelerator group: VUV and soft X-ray Spectroscopy Group

Sirius will allow us to

follow the photodynamics

processes under EUV

irradiation with:

▪ Much higher intensity

and resolution;

▪ Decrease

experimental and

data processing time;

▪ Carry out imaging

experiments with

high resolution;

▪ Use of several

spectroscopic

techniques in situ;

▪ Real time

experiments

Initial phase beamlines:

New Potential High Impact studies

MOGNO: Time-resolved high energy X-ray

imaging experiments dedicated to micro

and nano X-ray tomography. Time-resolved

experiments under specific conditions

(pressure, temperature, fluid flow, etc);

SABIÁ/IPÊ: Near

Ambient Pressure X-

ray Photoelectron

Spectroscopy

SABIÁ/IPÊ:

NEXAFS/XANES/

EXAFS

Page 20: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

➢ The incorporation of a high EUV absorber centre (Sn)

covalent linked in the MAPDST-co-ADSM resist

exhibited improved sensitivity and lithography

resolution down to sub-15 nm

➢ The labile triflate moiety was partially lost under EUV

irradiation but resisted the EUV absorbed energy up to

10 min of continuous irradiation

➢ Dissociation of Sn-C and Sn-O with final formation of

SnO2 was observed

➢ Changes in intensity and shape of the typical carbonyl

untreated features indicated that new C=O

functionalities were formed after irradiation and

oxidation

Mechanistic Insights of Sn-Based Non-Chemically-Amplified

Resists under EUV Irradiation

Kenneth E. Gonsalves and Daniel E. Weibel et al,

Appl. Surf. Sci, doi.org/10.1016/j.apsusc.2020.146553

International collaborations: joint skills of polymer and materials synthesis and characterization, ebl

and HIM patterning, etch, devices (IIT Mandi), and EUV photodynamic studies at LNLS/UFRGS Brazil.

{EUVL Exposure & Patterning: CXRO LBNL/other tools/steppers pending}

Photodynamic exposure

At LNLS

EUV exposure /XPS, NEXAFS, modeling (FEFF9)

Page 21: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

NGL - He+ (HIBL) & e- (EBL) Prelude to HVM EUVL Technology (with resists)N

ext

Gen

era

tion

Lit

hog

rap

hy P

relu

de

to E

UV

L

Tec

hn

olo

gy

▪ One of the key metrics for EUV resist is the sensitivity towards EUV radiation.

▪ However, it is observed that the exposure energy within the resist film that is mainly responsible for the resists chemistry.

▪ This applies to both high KeV electrons, He+ ion and EUV photons.

EU

V (

=13

.5 n

m)

He

+ io

n (

0.3

5 n

m)

e-b

eam

(0.8

nm

)

Reference : M. Kotera, et. al, "Photoelectron trajectory simulation in a resist for EUV lithography," 2007

Kyoto, 2007, pp. 94-95. Gregor Hlawacek et al. November JVST B 32(2):020801

A 92eV (13.5 nm) photon is absorbed, creates photoelectron with K.E. (~80 eV) that

loses energy and liberates SE’s (10 to 60 eV) in resist that leads to further chemistry

Post Exposure Affected Area

Surface suffers from large interaction volume at the surface in

case of e-beam (spot size 0.8 nm) and generated SE with ~50eV

Beam is well collimated beyond the SE depth. Recoil

contribution is negligible (spot size 0.35 nm)

We are developing organic, inorganic, hybrid resists containing elements having high EUV absorption capacity

SE’s

Page 22: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

22

Chemically Amplified Resists (CARs)

➢ The PAG units in the CARs do not react directly

with the photons.

➢ The very energetic (91.6 eV) photon will ionize

any molecule in the resist (mainly the polymer

chains) and generate an electron

➢ This photoelectron (~80 eV) then generates, by

inelastic collision and thermalization, a bunch of

secondary electrons

➢ Finally, these secondary electrons, less energetic (~20 eV), will activate the PAG and generate the

catalytic photoacid

➢Thus, the photon shot noise (discrete light density in resist) is transformed into a secondary electron blur

and then, finally, randomly generates acid molecules in the exposed polymer matrix.

Adv. Opt. Techn. 2017, DOI 10.1515/aot-2017-0021

Page 23: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

So, the present sub nano-meter technology demands the novel EUV resist material that are directly sensitive to radiation even without using the concept of chemical amplification (CARs).

EUV light

Mask

Generation of

Acid

Exposure

Acid Diffusion

in unexposed

area

Post Exposure

bake

After Develop

▪ To address the reliability and technical demands for EUV Resist for technology less than 20 nm node

▪ Acid diffusion

▪ Sensitivity

▪ Post exposure instability

▪ Line width roughness (LWR)

▪ Line edge roughness (LER <1.5 nm )etc.

Challenges in chemical amplified

(CARs) resist materials :

Motivation for Advanced CARs

Page 24: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Advanced Photoresist Microstructure for EUV

46872/316972 (2001-005US)

Filed 11/05/2001;

Serial No. 09/992,560

Issued 03/07/2006 as US Patent

7,008,749

46872/277723 (Nanocomposite US)

Filed 12/19/2002;

Serial No. 10/324,642

Issued 05/23/2006 as US Patent

7,049,044

46872/319921 (CIP)

Filed 09/14/2005;

Serial No. 11/226,912

Published 06/08/2006;

Has Allowable Subject Matter

46872/335594 (06-002-014CIP)

Photoacid Generators and

Lithographic Resists Comprising

the Same

Filed 10/06/2006;

Serial No. 11/544,463

*Adhesion on Substrates

*Etch Resistant

*Improved EUV Transparency

Adhesion Units

/ Etch Resistance

*Deprotection Reaction for polarity

change

*Etch Resistant

Acid Labile Units

/ Etch Resistance

Polymer-Bound

PAG

*Compatibility

*Reduction of Outgassing

**PHOTOSPEED

*High Thermostability

*Control Acid Diffusion

Hydroxystyrene Adamantyl Protecting GroupAnionic PAG

Chemically amplified resists (CAR) with PAG unit in the polymer chain

C O

O

x y z

PAG

OH

Motive 1

Page 25: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features
Page 26: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Acid Generating Efficiency Measured Under

254 nm Exposure

The results of acid generation show that the acid generating efficiency for HS-EA-super PAG, HS-EA MTFB PAG, HS-EA-F4

PAG, super NO2 PAG blend or commercial Triflate PAG are in the following order:

super NO2 blend polymer > super PAG bound polymer > Tf PAG blend polymer > MTFB PAG bound polymer > F4 PAG bound

polymer.

Exposure dose (mJ/cm2)

Efficiency %

Page 27: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

C O

O

C O

O

C O

O

C O

O

C O

OC O

O

C O

OC O

O

C O

O

PAGPAG

C O

O

PAGPAG

H+

C O

OH

C O

OH

C O

OH

C O

OH

1. PAG generates Acid Catalyst

CHEMICALLY AMPLIFIED RESISTS(CARS)

2. Catalytic Deprotection

PAGH+

Page 28: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

C O

O

C O

O

C O

O

C O

OC O

O

C O

O

PAGPAGPAGC O

OH

C O

OH

C O

OH

C O

OH

WASH w/ Aqueous Base Developer

Page 29: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

29

-PAG (K. Gonsalves PAG) bound methacrylate resin has been developed by DOW for the EUVL because

of their following advantages:

1) The use of methacrylate monomers allows a plethora of chemistry options for dialing in properties,

such as developer selectivity, etch resistance, low out gassing, and secondary electron yield

2) The attachment of the PAG anion to the resist polymer affords a very low acid blur, necessary

for the high resolution required

3) The use of chemical amplification is necessary to increase resist sensitivity

Gonsalves PAG patents US 8685616,7833690,7776505,7008749 J. Micro/Nanolith. MEMS MOEMS 10(3), 033009

Polymer-bound PAG resists

Page 30: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

30

FIG 1: The image shows that capable of resolving down to 18-nm half-pitch with Polymer-bound PAG

resists J. Micro/Nanolith. MEMS MOEMS 10(3), 033009

➢ Designing newer systems that should focus on the following necessary improvements

(i) Harvesting more EUV photons through increased EUV absorption and increased density.

(ii) Reducing the electron affinity of the matrix polymer to insure maximal secondary electron yield

(iii) Increasing the electron capture rate of the PAG to insure maximal quantum yield of acid generation

(iv) Synthesis of the most homogeneous PBP to insure uniform distribution of PAG and co-monomers.

Continued improvements of Polymer-bound PAG resist system

Page 31: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

31

➢ 15, 14 and 13 nm hp lines is well resolved by JSR new CAR resist system.

➢ However the improvement of sensitivity is required.

➢ dose 25.2 mJ/cm2, LWR 5.3 nm @15 nm hp lines

Novel EUV CARs for 13 nm half pitch resolution

Page 32: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Trade-off between Resolution (R), LER & LWR

and Sensitivity (S) [RLS) for NG resists Technology

Ad

ap

tati

on

of

NG

L f

or

ma

ss

Pro

du

ctio

n:

Ch

all

eng

es

EUV Photo Resists Technology Challenges

So, There is a need to design a totally new chemistry for EUV photo-resist materials to support less than 16 nm technologyRef: Garner, C Michael, “Lithography for enabling advances in integrated circuits and devices.” Phil. Trans. R. Soc. A (2012) 370, 4015.

High Sensitivity (so allowing weak sources); High resolution (for small feature sizes); Low LER

(line edge roughness); Post exposure instability; Minimal out-gassing (contaminate optics)

Dramatic enhancement

of resist sensitivity is

very difficult due to

RLS trade-off

❖ EUV λ ~13.5 nm interaction with the resist.

❖ The photon energy of EUV (13.5 nm, 92.5 eV) is much higher than ionization potentialof resist materials (~10 eV). Reaction mechanisms change from photochemistry toradiation chemistry. (A review paper : Kozawa and Tagawa, 2010)

❖ Acid diffusion is key problem in conventional resists.

❖ Patterning-collapse, blurriness, and overlay issues.

❖ Resolution (R), line edge and width roughness & sensitivity (RLS).

❖ Photon absorbance in EUVL is 14X less than established ArF Lithography

EUV Interaction with Resists (Accomulated Energy Profie )

EUV Exposure Tool

Resists Development (Acid Generation)

Other Treatments: Vapor; Pre Bake, Hard bake,

Wet/Dry Etching

How to Improve RLS Trade-off for EUVL

Organic Resists

Inorganic Resists

Hybrid Resists (Blending)

Organo-MetallicMetal oxide Resists

Recently, inorganic metal oxides have emerged as promising NGL resists.

Page 33: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Design principles for sub 7 nm node for EUV resistsAlessandro Vaglio Pret et al in “Modeling & simulation of low energy electron scattering in organic and inorganic photoresists”Proc SPIE XXXIV 1014609 (27 March 2017)*

*Alternative materials with higher electronic absorption/atomic density are likely to help lithographic performance for future nodes by reducing electron blur and increasing absorption. However, adequate exposure dose, high aerial image quality and good chemistry have to follow:

• At 7 nm node, state of the art organic CARs and metal oxide materials have comparable lithographic performance and are ready for fab at appropriate exposure doses.

• At 5 nm technology node the organic materials start showing failure mechanism that cannot be attributed only to photon shot noise, while higher absorption and lower blur of metal oxide materials allow a better control of the printing features.

• At 3 nm technology node CARs fail printing while metal oxide materials are advantageous due to higher resolution and higher absorption

*Based on modified version of electron scattering model in PROLITH

• Minimize shrinkage of nano patterns pre and post exposure processing => zero to marginal

• Convergent and divergent materials design and chemistries have been developed by us for preparing various resist formulations from hybrid/inorganic CARs to non-CARs as well as MOCs/MOFs, organometallics, organic-inorganic hybrids and activated monolayers in an attempt to meet the stringent requirements for EUVL, the all encompassing RLS. Initial prescreening by ebl and HIM.

• NOTE: Nanolevel molecular architecture essential for above to occur. #Intelligent materials design and synthesis. (Data Analytics/AI) #Excitation selectivity in model tin-oxo resist: a computational chemistry perspective, Jonathan H. Ma et al SPIE Advanced Lithography vol 11323EUVLXI 11321F (2020)

Page 34: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

34

Non-chemically amplified resists➢ A possible viable path to overcome the RLS trade off in EUV lithography is the identification of

alternative resists

➢ New resist system should exhibit following properties.

(i) novel solubility switching mechanisms able to suppress the existing blur effects

(ii) better EUV light absorption to capture more productive photons and offer better sensitivity.

➢ In order to minimize the resist blur effect, the solubility switch should happen within a short

distance from the ionization point where the photoelectrons are generated with a short mean free

path of the secondary electrons.

➢ Such switching mechanism would suggest a mechanism without chemical amplification, i.e. non-

chemically amplified resists (NCAR)

➢ Increasing absorbance and the conversion of photons into secondary electron are important

paths to improve resist sensitivity

➢ The molar absorbance of different atoms is well known, and adding atoms with high absorbing

cross sections into the resist composition allows to collect more photons

➢ Development of metal containing resist is an interesting concept to enhance the sensitivity of the

resist.

Adv. Opt. Techn. 2017, DOI 10.1515/aot-2017-0021

Page 35: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

➢ Synthesis of

organic/inorganic and

hybrids

➢ NMR, IR, GPC,TGA, DSC,

and XPS

➢ Thin Film Formation

➢ Pre-Bake

➢ Spin coat (thickness <40 nm)

➢ Sub 20 nm L/S patterns

➢ Post Bake

➢ Development

➢ HRSEM Imaging

➢ AFM Metrology

Process of Layout of Resists for Next Generation Lithography

Page 36: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Evolution of Resists Technology Formulations at IIT Mandi (H.P), India D

evel

op

ed

HR

Res

ists

fo

r

NG

L N

od

e

Dev

elop

ed

HR

Res

ists

fo

r

NG

L N

od

e

36

Page 37: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Nickel based Metal Core Organic Cluster (Ni-MOC) Formulation for

NGL Applications

HR

Res

ists

Form

ula

tio

ns

for

NG

L

No

de

Ni-DMA; MOC

Structure

Ni-mTA; MOC

Structure

FTIR FTIR

❖ Resist-A

Ni-mTA, MOC was synthesized by the reaction

of nickel acetylacetonate, m-toluic acid &

triethylamine at 65℃ for 24h

❖ Resist B

Ni-DMA, MOC was synthesized by the reaction

of nickel acetylacetonate, 3,3 dimethyl acrylic

acid & triethylamine at 65℃ for 24h

Page 38: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Figure: The resist pattern of Ni-MOC by He+ BL : (a) 18 nm (L/S), (b) 12 nm (L/3S),

(c) 10 nm L/4S, and (d) 9 nm (L/4S) at ~22 μC/cm2

He+

BL

HR

Patt

erin

g o

f N

i-M

OC

for

N+

7 N

od

e

Resist A: Ni-mTA High Resolution Patterns

Rudra Kumar, Manvendra Chauhan,

Mohamad G. Moinuddin et al.,

"Development of Nickel-Based

Negative Tone Metal Oxide Cluster

Resists for Sub-10 nm Electron Beam

and Helium Ion Beam Lithography",

ACS Applied Materials & Interfaces

12(17), 19616, (2020);

doi:10.1021/acsami.9b21414

Page 39: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

He+

BL

HR

Res

ists

Pa

tter

ns

for

NG

L N

od

e

Resist B : Nickel-DMA Higher Resolution Patterns at Dose = 35 μC/cm2

Figure : The resist pattern of Ni-DMA by He+ BL : (a) 15 nm (L/S), (b) 14 nm (L/S),

(c) 12 nm (L/S), (d) 10 nm (L/2S), and (e) 8 nm (L/2S) at ~ 35 μC/cm2

All New Nickel Based Metal Core Organic Cluster

(MCOC) Resist for N7+ Node Patterning;

Satinder K. Sharma, Rudra Kumar, Manvendra

Chauhan, Mohamad Ghulam Moinuddin, Jerome

Peter, Subrata Ghosh, Chullikkattil P. Pradeep, and

Kenneth E. Gonsalves

Proc.SPIE 11326 Advances in Patterning Materials

and Processes XXXVII 1132604 (26 March 2020)

Page 40: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Nickel doped Zinc Metal Organic Cluster (Zn-MOC) for e-beam lithography applications

Substrate : 2ʺ inch p-type silicon

Resist : Zn-MOC in ethyl lactate solution

Spinning conditions: 3000 RPM for 45 sec

Pre-exposure bake: 90 ºC for 60 Sec

Post exposure bake: 50 ºC for 60 Sec

e-beam Dose : 1400 µc/cm-2

❖ Zn-MOC was synthesized by the reaction of zinc acetate, m-

toluic acid, and triethylamine at 65℃ for 24h

❖ 10 wt % Nickel Doped Zn-MOC was developed with 2 wt %

iodonium PAG

❖ Pattern was developed in acetonitrile for 30 sec

FESEM images of EBL exposed Ni doped ZnO-MOC

Figure. FESEM images of EBL exposed Ni doped ZnO-MOC resist: (a) 7 nm; (b) 10 nm; (c) 12 nm patterns

EB

L ~

7, ~

10,~

12 n

m

HR

N

i D

op

ed Z

nO

Res

ist

Patt

ern

s fo

r N

GL

Nod

e

7 nm

(a)

10 nm

(b)

12 nm

(c)

Page 41: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Copper Metal Organic Cluster (Cu-MOC) e-Beam Lithography Patterns for NGL

Substrate : 2ʺ inch p-type silicon

Resist : Cu-MOC in ethyl lactate solution

Spinning conditions: 3000 RPM for 45 sec

❖ Copper MOC was synthesized by the reaction of copper acetate, m-toluic acid, and triethylamine at 65℃; 24h

❖ Pattern was developed in acetonitrile for 30 sec

Pre-exposure bake: 90 ºC for 60 Sec

Post exposure bake: 50 ºC for 60 Sec

e-beam Dose : 1400 µc/cm-2

Figure. Synthesis process of Cu-MOC Figure. Single Crystal XRD of Cu-MOC Figure. Single line exposure patterned

Cu-MOC: 14 nm at the dose 1400 µC/cm2

EB

L

~ 1

4 n

m C

u-M

OC

Res

ist

Patt

ern

s fo

r

NG

L N

od

e

➢ MOC platforms have a relatively simple material composition, CuOx clusters surrounded by organic ligands,

& different activation mechanism compared to CARs.

➢ Upon EUV exposure the CuOx clusters (MOC)and forms the resist pattern, whereas unexposed areas are

soluble.

Page 42: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Polyarylene Sulfonium Salt – Universal Photo-Resist

Lithography Parameters

Substrate : 4ʺ inch p-type silicon

Resist formulations: 2 wt % PAS in Acetonitrile

Spinning parameters: 4500 RPM for 60 S

Film Thickness: ~ 33 nm

Pre exposure bake: 100ºC for 60 S

Post exposure bake: 50 ºC for 60 S

EUVL exposure: 37.7 mJ cm-2

Developer : 0.05N TMAH/35 sec/DIW/30 S

Ref: ACS Appl. Mater. Interfaces., 2017, 9, 17−21Figure: PAS thin films; a) Optical image; b) AFM image .

(a) (b)

Rz = ~ 0.349 nm

42

Synthesis

❖ Polyarylene sulfonium salts were synthesized through free

radical polymerization process.

❖ Molecular weight ~ 5,675 g/mol-1 ; Poly Dispersity Index = 1.3

❖Polyarylene sulfonium salts were successfully explored as a new

organic n-CAR for higher to lower node lithographic

applications.

❖PAS acts as a dual tone resist . Both positive and negative

tone features can be patterned while changing the developer.

Un

iver

sal

HR

Res

ists

fo

r N

GL

No

de

Page 43: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Polyarylene Sulfonium Salt based Resists – EUVL HR Patterning at LBNL Berkeley, USA

20 25 30

(b)

L/5S

(C)

(a)

L/5S

20 25 30 35 40 45 50 60 70

(d)(d)L/5S L/4S L/3S L/2S

20 nm20 nm

L/4S L/3S

(C) (d)

Line Patterns Complex Patterns

Ref: ACS Appl. Mater. Interfaces., 2017, 9, 17−21

Hig

h R

esolu

tion

EU

V

Res

ists

Patt

ern

ing

for

NG

L N

od

e

Page 44: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Metal Core Organic Cluster (In-MCOC) Resist Formulations & Processing Parameters for

NGL Applications

Fo

rmu

lati

on

s a

nd

Pro

cess

Para

met

ers

for

NG

L N

od

e

Optimized Resist Processing Parameters

Substrate: Silicon wafer (undoped)

Resist: In-MAA

Resist concentration: 3 wt.% in Ethyl

Lactate

Film Thickness: ~30 nm

Prebake: 90oC for 45 sec

He+-beam exposure: 10μm aperture/30KeV

e-beam exposure: 10μm aperture/20KeV

Postbake: 90oC for 45 sec

Developer: Propane-1-ol : Propionic acid

mixture for 1 min 15 sec

❖Resist

In-MAA, MCOC

was synthesized by

the reaction of

Indium (III) acetate

hydrate, methacrylic

acid & triethylamine

at 70℃ for 24h

44

Page 45: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Resist : In-MAA HR PatternsE

BL

-H

R R

esis

t P

att

ern

s fo

r N

GL

Nod

e

~18 n

m L

/S

~15 n

m L

/S

~13 n

m L

/S

7 n

m L

5S

~1

2 n

m L

/2S

~1

0 n

m L

/3S

~ 12 nm L/10S

EBL Dose ~ 45 μC/cm2

45

Page 46: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

NRT data for Resist : In-MAAN

RT

data

for

Res

ists

Patt

ern

s

Experimentally plotted He+BL exposed NRT curves Experimentally plotted EBL exposed NRT curves

MCOC Resists NGL tools Sensitivity Contrast

Cu-DMA EBL 365.23 μC/cm2 0.848

He+BL 14.93 μC/cm2 1.025

In-MAA EBL 38 μC/cm2 1.997

He+BL 12.76 μC/cm2 0.982 46

Page 47: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Etch resistance data for Resist A & Resist BE

tch

res

ista

nce

data

for

Res

ists

Patt

ern

s

47

Page 48: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

FUTURE WORK: based on concepts outlined in EUVL Workshop @ IMEC BE June 2021

• EUVL @ 5 nm Node (HVM @NA 0.5 and more)

EUV resists to meet resolution, LER, sensitivity and defectivity targets. Includes new resist chemistries, resist fundamentals and new chemistries for addressing stochastics.

• EUVL @ 2 and 3 nm Nodes ditto and more incldnovel etch and metrology

• EUVL @ 1 nm node and beyond (wavelengths less than 13.5 nm!) ditto

48

Page 49: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Resist Sensitivity (µC/cm2) Contrast Resolution (nm) n-CAR Industrially LER/LWR Shelf life

optimized (nm) (days )

Indust

rial

ly

Adopte

d

Photo

resi

sts

HSQ 300- 5000 2.2 <10 Yes Yes 3

low @ RT (3

Months)

NEB-31 100 - ~40 Yes Yes - Low (Salt)

Res

ists

: N

egat

ive

ton

e

MAPDST-Dibutyl tin polymer 430 2.27 ~10 Yes No 0.88 ± 0.02

/

1.22 ± 0.04

Test after 6 Month

@ RT

MAPDST-Ag 172 2.77 <18 Yes No 1.56 ± 0.04

/

2.44 ± 0.04

To be tested

Ag-NPR-Terpolymer 50 1.45 <18 Yes No 2.64 ± 0.30

/

2.40 ± 0.26

To be tested

PAS 130-180 2.17 ~20 Yes No

1.83 ± 0.10

/

2.60 ± 0.10

To be tested

Poly(TPMA) 153 1.98 ~50 Yes No - Tested after 8

month

Ni Doped Zinc Oxide MOC 1400 <10 Yes No

2.30 ± 0.34

/

2.93 ± 0.19

To be tested

Copper Oxide MOC 1400 ~10 Yes No

2.08 ± 0.26

/

2.53 ± 0.15

To be tested

Nickel-mTA MOC ~20 1.78 <10 Yes No

1.81 ± 0.06

/

2.90 ± 0.06

To be tested

Nickel-DMA MOC ~ 35 <10 Yes No

2.16 ± 0.04

/

3.03 ± 0.06

for 12 nm, L/S

To be tested

Summary: Metrics of Developed Resists vs Industrial standards

Page 50: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Thank You

23 February 2021 50

Page 51: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Advanced Material Research Centre

(AMRC),IIT Mandi

AcknowledgmentsTeam Members from IIT Mandi

Dr Satinder K. Sharma EE IIT Mandi

Doctoral Research Fellows

Mr. Mohd. Ghulam Moinuddin

Mr Manvendra Chauhan (Lithography)

Dr. Guruprasad Reddy (Resist Synthesis, Alumni)

Postdoctoral Research Fellows

Dr. Jerome Peter (Organometallic hybrid

Resist Synthesis,)

Dr. Rudra Kumar (MOC Resist Synthesis)

Dr. Lalit Khillare (Organic Materials)

Dr. Gangadhar Purohit

Dr. Ravikiran Nagarjuna

Prof. Daniel E. Weibel, Federal

University of Rio Grande do Sul-

UFRGS, Brazil for use of

Synchrotron Light Laboratory

(LNLS) for photodynamic studies.

Funding by CAPES, CNPq, LNLS

Professor Subrata Ghosh SBS IIT Mandi

Page 52: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

MAPDST-Dibutyl Tin Hybrid Copolymer for Higher Resolution Patterning Applications

MAPDST-Dibutyl tin copolymer

❖ MAPDST-Dibutyl tin copolymer was synthesized through free

radical polymerization process.

❖ Molecular weight ~ 8221 g/mol-1 ; Poly Dispersity Index = 1.51

❖ Calculated x and y composition from NMR analysis is 3.8 : 96.2

❖ High optical density tin metal (10-12) incorporated in the resist

structure.

❖ Sn-C bond undergo structural changes towards light.

❖ Resolution got improved 20 nm to 12 nm nodes compared to the

poly-MAPDST

Lithography Parameters

❖ Calculated thin film thickness 40-45 nm.

❖ Calculated RMS roughness = 0.3-0.7 nm with scale bar ±3nm

Substrate : 2ʺ inch p-type silicon

Resist : 3 wt% of MAPDST-Dibutyl tin copolymer in Acetonitrile

solution

Spinning conditions: 4500 RPM; 1500 acceleration for 60 sec

Pre-exposure bake: 70 ºC for 60 Sec

Post exposure bake: 70 ºC for 60 Sec

Developers : 0.026N TMAH/80 sec; DI/60 sec

Hig

h R

esolu

tion

He

+R

esis

ts P

att

ern

s fo

r

NG

L N

od

e

Organotin in Non-Chemically Amplified Polymeric Hybrid Resists Imparts Better Resolution

with Sensitivity for Next-Generation Lithography, Peter Jerome, Mohamad. G. Moinuddin,

Subrata Ghosh, Satinder K. Sharma, Kenneth E. Gonsalves, ACS Appl Polym Mater 2020, 2,

5, 1790--1799

Page 53: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Helium ion (He+) exposed Nano patterns at 50 μC/cm2

L/2S

15-nm

10-nm

Sensitivity, Contrast

Resolution < 15nm

LWR/LER

Tra

de-o

ff

L/SL/10S L/5S L/4S

L/3S L/2S

12-nm

L/10S L/5S

Hig

h R

esolu

tion

He+

Res

ists

Patt

ern

s fo

r

NG

L N

od

e

Page 54: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Comparison of Photo-Exposed with e-beam Exposed MAPDST-co-ADSM

UntreatedSO

4

SO3 S=O S-C

15s

30s

120s

174 172 170 168 166 164 162 160

300s

Inte

nsity s

ign

al (a

.u.)

Binding Energy (eV)

unexposed MAPDST-ADSM

exposed @ 600 uC/cm2

EUV exposed MAPDST -co-ADSM EBL exposed for MAPDST-co-ADSM

1k

2k

SnO

Sn 3d5/2

SnO

Sn 3d3/2

Untreated

1k

15 s

2k

300 s

120 s

30 s

2k

3k

498 496 494 492 490 488 486 484 482

4k

6k

CP

S

Binding Energy (eV)

500 498 496 494 492 490 488 486 484 482

-0.05

0.00

0.05

0.10

0.15

0.20

0.25

0.30

0.35

Re

lative

In

ten

sity (

a.u

)

Binding Energy (eV)

UNEXPOSED Sn

EXPOSED Sn

Ph

oto

-Ch

em

ical

S

Ph

oto

-ab

so

rban

ce

Sn

Changed P

ola

rity

U

nchanged

Ph

oto

& E

lect

ron

Bea

m E

xp

ose

d R

esis

ts

for

NG

L

Page 55: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

55

J. Micro/Nanolith. MEMS MOEMS, 2015, 14(4), 043511

Page 56: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

H3CO S

O

O

Cl2.CF3SO2NH2, Et3N

Aceton, RT, 24 hrs

H3CO

S

O

O

N

S

O

OCF3

H: Et3N

CH3ONa

CH3OHH3CO

S

O

O

N

S

O

OCF3

Na

NaO

S

O

O

N

S

O

OCF3

Na

CH3CH2SNa

DMF, reflux

HO O

TFA/TFAA O

S

O

O

N

S

O

OCF3

H

O

Br-S+Ph3

O

S

O

O

N-

S

O

OCF3O

O

S

O

O

N

S

O

OCF3

K

OIon exchange

+SPh3

1 34

56

78

O

O

S

O

O

N-

S

O

OCF3

10O

Cl

K2CO3

Br-S+Ph3

NaO

S

O

O

N-

S

O

OCF3

+SPh3

9

5

+SPh3

O

S

O

O

N-

S

O

OCF3O

R

+SPh3

1211

R

S

O

O

N-

S

O

OCF3

+SPh3

F

F

F

F

F

F

F

F

PAG 11,12 will also be prepared by a similar procedure and previously published papers

(R: H, CH3) (R: R'COO, NO2, F, H)

Anionic “Super PAGs”

Page 57: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

20 nm 25 nm 30 nm

1:1 1:2

C O

O

x y z

C

O

SO3-

+S

3

O

F F

F FOH

HE-F4-MBS.TPS

(43.5 mJ /cm2)

SEM of PAG Bound Polymers

Exposed at EUVL

Page 58: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

Shoutout at the end

of 2018

International collaborations: joint skills of polymer and materials

synthesis and characterization, ebl and HIM patterning, etch, devices (IIT

Mandi), and EUV photodynamic studies at LNLS/UFRGS Brazil.

{EUVL Exposure & Patterning: CXRO LBNL/other tools/steppers pending}

V.S.V. Satyanarayana, F. Kessler, V. Singh, F.R. Scheffer, D.E. Weibel, S. Ghosh, K.E. Gonsalves,

Radiation-sensitive novel polymeric resist materials: iterative synthesis and their EUV

fragmentation studies, Appl. Mater. Interfaces, 6 (2014) 4223−4232.

V. Singh, V.S.V. Satyanarayana, N. Batina, I.M. Reyes, S.K. Sharma, F. Kessler, F.R. Scheffer,

D.E. Weibel, S. Ghosh, K.E. Gonsalves, Performance evaluation of nonchemically amplified

negative tone photoresists for e-beam and EUV lithography, J. Micro. Nanolithogr. MEMS

MOEMS, 13 (2014) 043002.

G.R. Chagas, V.S.V. Satyanarayana, F. Kessler, G.K.

Belmonte, K.E. Gonsalves, D.E. Weibel, Selective

fragmentation of radiation-sensitive novel polymeric

resist materials by inner-shell irradiation, Appl. Mater.

Interfaces, 7 (2015) 16348–16356.

G.K. Belmonte, C.A. da Silva Moura, P.G. Reddy, K.E.

Gonsalves, D.E. Weibel, EUV photofragmentation and

oxidation of a polyarylene - sulfonium resist: XPS and

NEXAFS study, J. Photochem. Photobiol. A-Chem., 364

(2018) 373–381.

Photodynamic exposure

At LNLS

Photodynamic exposure

At LNLS

Page 59: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

C.A.S. Moura, G.K. Belmonte, P.G. Reddy, K.E. Gonsalves,

D.E. Weibel, EUV photofragmentation study of hybrid

nonchemically amplified resists containing antimony as an

absorption enhancer, RSC Adv., 8 (2018) 10930-10938.

C.A.S. Moura, G.K. Belmonte, M. Segala, K.E. Gonsalves, D.E.

Weibel, Polarization Dependence in the Carbon K-Edge

Photofragmentation of MAPDST Photoresist: An

Experimental and Theoretical Study, J. Phys. Chem. C, 122

(2018) 28619−28628.Mechanistic Insights of Sn-Based Non-Chemically-Amplified Resists

under EUV Irradiation

Guilherme K. Belmonte, Suelen W. Cendron, Pulikanti Guruprasad

Reddy, Cleverson A. S. Moura, Mohamad Ghulam Moinuddin, Jerome

Peter, Satinder K. Sharma, Gabriela Lando, Marcelo Puiatti, Kenneth E.

Gonsalves and Daniel E. Weibel,

Appl. Surf. Sci, doi.org/10.1016/j.apsusc.2020.146553

EUV photodynamic studies at LNLS/UFRGS Brazil contd.

Page 60: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

CH2 C

CH3

C O

O

S+CH3CH3

CF3SO3

hv

+

CH2 C

CH3

C O

O

S+CH3CH3

CF3SO3

CH2 C

CH3

C O

O

S+CH3

.

CH3. CF3SO3+

+CH2 C

CH3

C O

O

S+CH3CH3

CF3SO3

CH2 C

CH3

C O

O

S+CH3

.

RH

CH2 C

CH3

C O

O

S+CH3CH3

CF3SO3

CH2 C

CH3

C O

O

S+CH3H

+ R.

CH2 C

CH3

C O

O

S+CH3CH3

CF3SO3

CH2 C

CH3

C O

O

S+CH3H

CH2 C

CH3

C O

O

S+CH3CH3

CF3SO3

CH2 C

CH3

C O

O

CH3

S

+ H+

CH3. + CH3. CH3 CH3

CH3. + RH CH4 + R.

CH3. + R. R-CH3

X = BF4, PF6, AsF6, SbF6, CF3SO3, C4F9SO3

Proposed Photoacid

Generation

Mechanisms of PAG

Bound Polymer

RH RH++ e-

RH+ deprotonation

e- + AG A + G-

H+ G-+ HG

[I]

[II]

[III]

[IV]

RH, RH+, e-, AG, A, G- and HG are base polymer,

its radical cation, an electron, an acid generator,

its decomposed fragment, a counter anion and an acid.

Page 61: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

61

➢ The EUV beam reflected by the reticle is focused by a second set of mirrors (projection optics) onto the wafer stage to print

a pattern into a photoresist coated on a wafer surface.

➢ EUVL uses 13.5 nm radiations, therefore it has introduced three fundamental differences with respect to a 193-nm

lithography

❖ The use of reflective multilayer (Bragg type) optics instead of refractive optics

❖The plasma light source instead of a DUV laser

❖ The vacuum environment with high level of contamination control instead of atmospheric pressure as in DUV

➢An EUV light (13.5-nm) produce from laser-produced

plasma source (LPP)

➢LPP light sources utilize a high power laser to create a high-

energy plasma that emits short wavelength light inside a

vacuum chamber.

➢In this version, pulses from a CO2 laser illuminate droplets

of tin, which radiate 13.5 nm of light in all directions

➢A collector mirror focuses the light into a reflective optical

system (illuminator) that directs the light onto a reticle (i.e. a

mask containing the pattern to be printed).

EUVL Lithography process

Adv. Opt. Techn. 2017, DOI 10.1515/aot-2017-0021

Page 62: PHOTORESISTS FOR EUVL (13.5 NM) WAVELENGTH …photoresistgroup.com/FIT 2.pdf · 2021. 3. 3. · In 2000 the semiconductor industry began producing “nanochips”-chips with features

62

Lithography prospect and technical challenge for EUVL

➢ EUV lithography for high volume

manufacture (HVM) involve the source/scanner,

the mask, and the photoresist.

➢ The EUV source should have a stable high

power exposure with single wavelength and

narrow bandwidth, as well as a long lifespan of

optics combinations.

➢The current EUV sources can be divided into

two types: tin-discharge produced plasma (DPP)

light source and laser-produced plasma (LPP).

➢200 W EUV source at an intermediate focus

can have a significant impact in HVM.

➢ Both sources create an extremely high temperature to produce the short wavelength of 13.5 nm.

➢ Mo/Si layers are used as optical mirrors to control the large amount of heat produced from the high energy EUV light

source.

➢ In terms of the EUV mask, the primary challenge is to control the defects that will influence UV transmittance

during exposure and lead to patterning issues

➢ The phase defect from the surface of the substrate and the bottom of the multilayers, and the amplitude

defect from the top multilayers are considered as two primary types of defects in the mask.

Adv. Opt. Techn. 2012; 1(4): 269–278