Photolithography chapter by scotten w. jones

112

Click here to load reader

Transcript of Photolithography chapter by scotten w. jones

Page 1: Photolithography chapter by scotten w. jones

PhotolithographyWritten by: Scotten W. Jones

Page 2: Photolithography chapter by scotten w. jones

i

Preface

The following document was written in 2000 as a chapter on Photolithography for inclu-sion in a highly technical - text book on Silicon Integrated Circuit Process Technology. Formarketing reasons we abandoned the book without completing it but this was one of severalchapters that are complete. This chapter is a follow on to the chapters on diffusion and siliconproperties we posted previously. We hope you find it useful.

Please note that this material was written back when we planned to publish it as a hardcover book in black and white and is not in color the way all of the current IC Knowledgereports are.

Scotten W JonesPresidentIC Knowledge LLCFebruary 20, 2008

Page 3: Photolithography chapter by scotten w. jones

ii

Contents

1.1. Introduction 11.2. Photoresist chemistry 21.3. Surface preparation 241.4. Coating 271.5. Soft bake 321.6. Optical principles 361.7. Optical exposure 601.8. Process and resolution enhancements 781.9. Non optical exposure 851.10. Post exposure bake 911.11. Develop 921.12. Hard bake 951.13. Photo stabilization 971.14. Photolithography process flows 971.15. Multilevel photoresists 991.16. Multilayer photoresist 1001.17. Metrology and inspection 101

Page 4: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 1

5 Photolithography

5.1. Introduction5.2. Photoresist chemistry5.3. Surface preparation5.4. Coating5.5. Soft bake5.6. Optical principles5.7. Optical exposure5.8. Process and resolution enhancements5.9. Non optical exposure5.10. Post exposure bake5.11. Develop5.12. Hard bake5.13. Photo stabilization5.14. Photolithography process flows5.15. Multilevel photoresists5.16. Metrology

5.1. IntroductionThe incredible progress the IC industry has made in packing more and more functionality

onto a single chip has been driven by photolithography to a greater extent than any other area ofprocessing. From 1970 when 10�m lines were the predominant linewidth, to 2000 when0.18�m linewidths are in volume production, linewidths have shrunk by nearly two orders ofmagnitude -see figure 5.1. In this chapter photolithography will be reviewed. The chapter openswith a discussion of photoresist chemistry followed by a detailed step by step discussion of thephotolithography process. Supplemental material on optics that is required to understand opti-cal exposure will be presented along with the latest optical exposure resolution enhancementtechniques. Some candidate post optical exposure systems will be presented and overall photo-lithography process flows will be reviewed. The chapter closes with a discussion of metrologyequipment relevant to photolithography.

Page 5: Photolithography chapter by scotten w. jones

2 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.1: Minimum linewidth trends [1],[2],[3].

5.2. Photoresist chemistryThe word Photoresist comes from the combination of photosensitive and acid resistant, i.e.

a photoresist can be photographically patterned and will stand up to acid etch, enabling pat-terned etching. A photoresist useful for IC fabrication must exhibit several characteristics inaddition to photosensitivity and acid resistance - they are:1. Coating - must be able to form a thin, uniform, pinhole free film.2. Adhesion - adheres to the underlying substrate and does not “lift off” during subsequent

processing. 3. Sensitivity -must be sensitive to the wavelength of radiation utilized for exposure. 4. Resolution - the ability to resolve the required minimum feature size being printed.5. Developing - must exhibit a significant difference in develop rate between the exposed and

unexposed areas without pattern distortion.6. Process resistance - withstands plasma etch processes, high dose ion implantation, and wet

etchants.7. Easy removal - must be removable following processing.8. Thermal stability - must withstand bake processes without pattern distortion.9. Stability - the formulation must be stable enough to be stored for reasonable periods of time

without requiring prohibitively difficult storage conditions.10. Safety - the material cannot present an unacceptable health hazard.

10

1

Intel X86 MPU

ITRS MPU - gateDRAM

IRTS DRAM - 1/2 pitch

0.1

40048008

1K 4K16K 64K

256K

1M

4M

16M

64M64M

256M

8080

80858086

8088

286 386

486P1

P1 PP

PII

PII

PIII

0.011970 1980 1990 2000 2010

Year

Lin

ewid

th (

m)

Page 6: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 3

Practical photoresists meeting the above criteria are available from a number of supplierssuch as Shipley, Clariant/Az, Olin Microelectronics, Sumitomo Chemical Co., etc.

Practical photoresists are generally composed of three major components:1. Polymer - the polymer is the backbone of the photoresist. Following exposure and develop-

ing the polymer is the major constituent of the patterned photoresist film.2. Photo active compound (PAC) - the PAC is the constituent of the photoresist that undergoes

a reaction when exposed to light. The photoactive compound may be combined with thepolymer.

3. Solvent - keeps the photoresist in liquid form until after coating.Photoresist may be classified as negative or positive photoresist.

• Negative photoresist - relatively developer soluble until exposed to light at which point theexposed portion exhibits decreased developer solubility.

• Positive photoresist - relatively developer insoluble until exposed to light at which pointthe exposed portion exhibits increased developer solubility.Figure 5.2 illustrates negative and positive photoresist behavior.

Figure 5.2: Negative and positive photoresist.

5.2.1. Photochemistry basicsIn order for exposure to light to change the developer solubility of a photoresist film - some

type of photochemical reaction must take place. The 1st law of photochemistry - the Grotthus-Drapper law states• First law of photochemistry - only light that is absorbed can cause chemical change.

When a molecule absorbs a photon of light, the molecule is promoted to an excited state.Most molecules have an even number of electrons in the ground state and the electrons are spinpaired, i.e. the molecule has no net spin angular momentum and the state is referred to as a sin-glet. The singlet ground state is typically denoted as S0. In most absorption processes an elec-tron is excited to a higher energy level, S1, S2, S3 etc. - see figure 5.3a. Each singlet state has acorresponding triplet state where the electrons are not spin paired, denoted, T1, T2, T3, etc. - see

a) Negative photoresist b) Positive photoresist

ExposeExposed area

Unexposed area

Exposing radiation Exposing radiation

Develop

Patternedphotomask

Page 7: Photolithography chapter by scotten w. jones

4 Copyright © 2000 IC Knowledge LLC, all rights

figure 5.3b (the states are called triplets because in a magnetic field they break up into threelevels).

Figure 5.3: Singlet and triplet states

Due to the same spin pairing of the outermost electrons in the triplet state, the Pauli exclu-sion principle forces the electrons to be more widely spaced resulting in the triplet state havinga lower energy than the corresponding singlet state. Non radiative transitions between stateswith the same spin are referred to as internal conversions, where an electron transitions from ahigher electronic state to the upper vibrational level of the lower state, for example S2 -> S1 orT2 -> T1. These transitions are isoenergetic. When a non radiative transition occurs betweenstates with different electron spins an intersystem crossing occurs and the transition is followedby a vibrational cascade, for example S1 -> T1 or S2 -> T2. Molecules in an exited vibrationalstate, singlet or triplet may undergo radiative transitions where the molecules relax by emittinga photon, non radiative transitions for example vibrational, or they can undergo a variety ofphotochemical reactions as illustrated in figure 5.4 [4].

The activation energies for chemical reactions are generally in the 0.43 - 4.33eV range. The energy of an incident photon is given by

(5.1)

where, � is the wavelength of the incident light, h is planks constant and C is the speed of lightin a vacuum (see appendix C for the values of h and C).

Table 5.1 presents the photon energy for some current and planned exposure wavelengths.The second law of photochemistry is the Stark - Einstein law (not really a law):

• Second law of photochemistry - one quantum of light is absorbed per molecule of absorb-ing and reacting substance.The quantum yield of the process is defined as

(5.2)

S0 S1 T1

AbsorptionIntersystem

crossing

Photon

a) Absorption b) Intersystem crossing

E hCλ

-------=

φ # of molecules decomposed or formed# of quanta absorbed

--------------------------------------------------------------------------------------------=

Page 8: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 5

Quantum yields of photochemical reactions at room temperature range over approximatelyseven orders of magnitude from 1x10-2 to 1x105.

Figure 5.4: Primary photochemical reactions [4].

Two other important laws of photochemistry are:• Lambert law - the fraction of incident radiation absorbed by a transparent medium is inde-

pendent of the intensity of the incident radiation and each successive layer of the mediumabsorbs an equal fraction of the incident radiation.

• Beer law - the amount of radiation absorbed is proportional to the number of absorbingmolecules.If light with an initial intensity I0 is incident on a photoresist film, then the portion of the

incident intensity absorbed in a thickness dx is given by (see figure 5.5)

(5.3)

where, � is the absorption coefficient and C is the absorbing molecules per unit volume.

Table 5.1: Photon energy of exposure wavelengths.

Wavelength(nm)

Energy(eV)

436 2.84

365 3.40

248 5.00

193 6.42

157 7.90

(S ) ABC1

S1 - singlet, T - triplet1

AB + C• •

E + F

Dissociation into radicals

Decomposition into molecules

Intermolecular rearrangement

Photoisomerization

Hydrogen ion abstraction

Photodimerization

Photosensitization

Photoionization

External electron transfer

Internal electron transfer

ACB

(ABCH) + R• •

ABC + prod

ABC + e+ -

AB + C+ -

ABC + D+ (o r-) - (o r+)

(ABC)2

RH

D

ABC

ABC*(S )1

ABC*(S )0

ABC*(T ) or1

(T ) ABC1

ΔII0------ αCdx=

Page 9: Photolithography chapter by scotten w. jones

6 Copyright © 2000 IC Knowledge LLC, all rights

The specific value of � depends on the photoresist film and the wavelength of the incidentradiation. Curves for various photoresists are available and may be expressed as optical densityor absorbance versus wavelength, where optical density is defined as (see also figure 5.5)

(5.4)

and

(5.5)

Figure 5.5: Photoresist exposure energy absorption.

Absorbance curves for various photoresist will be presented later in this section.

5.2.2. Negative photoresistThe first commercial photoresist for semiconductor production was a negative photoresist -

Kodak Thin Film Resist (KTFR) invented by Martin Hepher and Hans Wagner [5]. KTFR wenton to become the workhorse photoresist for the semiconductor industry from 1957 untilapproximately 1972 when minimum dimensions reached approximately 2�ms - the resolutionlimit for KTFR [6]. Due to the popularity of KTFR, KTFR will be discussed in detail as anexample of a negative photoresist. It should be noted that there are other successful negativephotoresist formulations.

The polymer in KTFR is a cyclized poly(cis-isoprene) of molecular weight of approxi-mately 150,000. A synthetic rubber is treated with acidic reagents to reduce the degree ofunsaturation in the rubber and stabilize the rubber against O2 mediated cross-linking [6]. • Unsaturation - the total number of multiple bonds or rings in a molecule.

The preparation of synthetic cis-1,4-polyisoprene is illustrated in figure 5.6. Notice howtwo double bonds are replaced by a single double bond, i.e., the degree of unsaturation isreduced.

OD Log I0I----=

I I0 I– 010 αCx–=

I0 at 0

I at x

Film

Incidentradiation

Intensity x

dx

Page 10: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 7

Figure 5.6: Preparation of synthetic cis-1,4-polyisoprene [7].

The cyclization process lowers the viscosity of the rubber and raises the softening pointfrom 30 to nearly 60oC [6].

The polyisoprene from figure 5.6 is cyclized to produce resin (see figure 5.7). • Cyclization - formation of a ring compound from a chain by formation of a new bond.

Cyclization is accomplished by reacting acidic reagents with shredded rubber. Suitableacids include: sulfuric acid, p-toluene sulfonic acid, p-toluene sulfonyl chloride, and phenolsulfonic acid derivatives [7].

Figure 5.7: Polyisoprene resin formation [7].

There are several possible cyclization modes that may result (see figure 5.8). The cyclicityis given by

(5.6)

where, n is the number of isoprene units.

CH2 CH2CH2 CH2

CH3 CH3

CH CHC Cnn

CH2

CH2

CH2

CH2

CH2

CH2

CH2

CH2

HC

HCH

HC

HCH

HC

HC

HC

HC

HCH

HCH

HCH

HCH

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

C

C

C

C

C

C

C

C

HCH

HCH

HCH

HCH

Rubber (natural or synthetic)

Repeating structure ofpolyisoprene polymer. Note:there is one double bond foreach five carbon atoms.

Resin

Isomeric after structuremonacyclization(D’Ianni [8])Note: there is one double bondfor each ten carbon atoms.

cyclicity n 1–=

Page 11: Photolithography chapter by scotten w. jones

8 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.8: Cyclization modes [7].

The properties of polyisoprene before and after cyclization are presented in table 5.2.The cyclization process creates a more compact and rigid structure as double bonds are

eliminated. From table 5.2, the density, refractive index and softening point all increase and theviscosity decreases during cyclization. • Viscosity - an internal property of a fluid that offers resistance to flow.

Photoresist properties such as photospeed, adhesion and thermal behavior all depend on thespecifics of the cyclization process [21]. High molecular weight cyclized rubbers show greaterphoto sensitivity but also show poorer adhesion and are more difficult to develop cleanly.Cyclized rubber does not absorb light above 300nms and does not undergo significant curingunder ultraviolet (UV) exposure. In order to render cyclized rubber photosensitive a sensitizeris used. The sensitizer used in KTFR is a bis-arylazide, 2,6-bis(4-azidobenzal)-4-methylcyclo-hexanon.• Azides - compounds containing one or more N3 group.

2,6-bis(4-azidobenzal)-4-methylcyclohexanone is synthesized by condensation of para-azi-dobenzaldehyde with a substituted cyclohexane - see figure 5.9.

Table 5.2: Properties of polyisoprene before and after cyclization [21].

Property Un-cyclized Cyclized

Density 0.920 0.992

Refractive index 1.520 1.545

Softening point (oC) 28 50-65

Intrinsic viscosity 3-4 0.49-0.36

CH2

CH2 CH2

CH2

CH2 CH2

CH3

CH3

CH3 CH3

CH2

CH3 CH3

CH2

CH2

CH3

CH3

a) D’Ianni [8] b) Isomers

c) Bicyclic

Page 12: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 9

Figure 5.9: 2,6-bis(4-azidobenzal)-4-methylcyclohexanone sensitizer formation [6].

In order to effectively cross-link cyclized rubber the sensitizer must have two reactive sites.Upon exposure to light, the N3 groups terminating the ends of the sensitizer may give up an N2molecule and leave a reactive nitrogen radical with 6 rather than 8 electrons in the valence shell- referred to as a nitrene - see figure 5.10.

Figure 5.10: bis-arylazide sensitizer reaction with light.

The exposure reaction may be monophotonic with a single photon breaking 2 - N2 mole-cules free leaving a nitrene at each end of the bis-arylazide, or biphotonic where two photonsare required to create a nitrene at each end.

The resulting nitrene may exist in the single or triplet state and is highly reactive. There are5 probable nitrene reactions [21]:1. Addition to olefins - nitrene additions to double bonds to form 3 membered azirdine ring

compounds. This reaction is believed to be restricted by low concentration and sterically hindered nature of the double bond in cyclized rubber.

2. Hydrogen abstraction - nitrene abstraction of hydrogen atoms from cyclized rubber fol-lowed by recombination of the nitrogen and carbon radicals to form secondary amines. These reactions occurring at both ends of the bis-arylazide lead to polymer crosslinking - see figure 5.11. Polymer crosslinking reduces the solubility of the photoresist in developer.

Figure 5.11: bis-arylazide sensitizer - polymer cross - linking.

+ 2

CH3

O C

HO

N3

CH

CH3

O

CH N3N3

NN R

N2 N2

LightLight

NN

Pol

ymer

Pol

ymer

R

Page 13: Photolithography chapter by scotten w. jones

10 Copyright © 2000 IC Knowledge LLC, all rights

• Amine - a simple compound containing an ammonia group such as RNH2, R2NH or R3N,where R is either an akyl or aryl hydrocarbon radical.

3. Dimerization - nitrogen-nitrogen double bonds form between bis-arylazide sensitizers by

(5.7)

This reaction competes with crosslinking, but is inconsequential as long as the sensitizerconcentration isn’t too high.

4. Azide decomposition - a nitrene - nitrogen bond forms between bis-arylazide sensitizers by

(5.8)

Once again this reaction competes with crosslinking, but once again is inconsequential aslong as the sensitizer concentration isn’t too high.

5. Oxidation - an oxygen atom reacts with a nitrene preventing cross linking by

(5.9)

Oxidation is minimized by limiting oxygen availability during exposure. Commercialexposure systems typically provide a nitrogen “blanket” during exposure.Due to reactions 3 and 4, there exists an optimum sensitizer level above which reactions 3

and 4 become prevalent and below which the photoresist does not exhibit sufficient photo-sen-sitivity.

Because bis-arylazide sensitizers evolve nitrogen during exposure the exposure reactionrate must be controlled by limiting the incident exposure intensity in order to prevent nitrogenbubble formation in the film. Nitrogen bubbles can break down the film adhesion and effectresolution.

The absorbance of a bis-arylazide sensitizer versus wavelength is illustrated in figure 5.12.Figure 5.12 also illustrates the extended absorbance of bis-arylazide that has undergone

extended conjugation with vinylic groups. Bis-arylazide sensitivity drops off to near zero at400nm, but with extended conjugation the sensitivity can be extended to longer wavelengths.The longer wavelength sensitivity can be useful with exposure systems where the light sourceis filtered to only include a single wavelength such as 436nm (G-Line) systems (see section 5.6and 5.7).• Conjugation - alternating single and double bonds.

In the case of bis-arylzides the single and double bonds connecting the carbon rings asshown in figure 5.13a are “extended” as illustrated in figure 5.13b.

The solvents for cyclized rubber photoresist include, toluene, xylene, and halogenatedhydrocarbons, with xylene being the most common. The structures of xylene and toluene areillustrated in figure 5.14. There are three forms of xylene illustrated that are found mixedtogether in commercial xylene, metaxylene is the predominant form.

Following exposure, cyclized rubber photoresist developing is performed by exposing thephotoresist to solvents with a solubility parameter similar to the solubility parameter of thephotoresist - “like dissolves like” - for example, stodard solvent or xylene � = 8.8. • Solubility parameter - the solubility parameter is defined as

R N:– :N R R N–→–+ N R–=

R N:– N3 R R N–→–+ N R– N2+=

R N– 1 2⁄ O2 R N–→+ O=

Page 14: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 11

(5.10)

where, D is density, �HV is the heat of vaporization, T is the temperature in oK and M is themolecular weight. For polymers, � is found by noting the range of � parameter solvents thepolymer dissolves in.

Figure 5.12: Absorbance versus wavelength for bis-arylazide sensitizer [22].

Figure 5.13: Extended conjugation of bis-arylazide [22].

Figure 5.14: Cyclized rubber photoresist solvents.

δΔEV

V----------

D ΔHV RT–( )M

----------------------------------⎝ ⎠⎛ ⎞

1/2= =

0.0

0.2

0.4

0.6

0.8

1.0

1.2

1.4

1.6

bis arylazides

Afterextendedconjugation

360nm

400nm

1.8

2.0

300 400Wavelength (nm)

Ab

sorb

ance

500

CH3

O

a) bis-arylzide b) bis-arylzide after extended conjugation

N3N3

CH3

O

N3 N3

CH3 CH3 CH3 CH3

CH3

CH3

CH3

Orthoxylene Metaxylene Paraxylene

a) Xylenes b) Toluene

Page 15: Photolithography chapter by scotten w. jones

12 Copyright © 2000 IC Knowledge LLC, all rights

Upon exposure to the developing solvent the cyclized rubber polymer swells. Where thepolymer is crosslinked from exposure the cross-links hold the polymer together and where thepolymer is not crosslinked the polymer strands are washed away by the developer - see figure5.15.

Figure 5.15: Cyclized rubber photoresist developing.

One consequence of the solvent based developing process is that photoresist swells in boththe un-exposed and exposed area. At the completion of developing the photoresist is rinsedwith a solvent which is miscible with developer but not with the polymer, for example butylacetate, � ~12.4 or a isopropanol/trichlorethylene mixture. The rinsing step halts developingand reduces the photoresist swelling. The final photoresist image is distorted to some degree bythe developing process and ultimately this limits the resolution of cyclized rubber photoresist.Figure 5.16a illustrates cyclized rubber photoresist developing in the presence of “good” adhe-sion and figure 5.16b illustrates the effect of developing on resist with “poor” adhesion.

Figure 5.16: Effect of adhesion on cyclized rubber photoresist developing.

In addition to the base polymer, photo sensitizer and solvent, negative photoresist willcommonly contain additives to improve the performance of the resist. For example, negativephotoresists can react with nitrogen dioxide and ozone to form NO2, NO3 and NO polar groupsthat interfere with the developing of the resist. The result is “scumming” and anti-scummingadditives may be used to prevent the undesired reactions.

5.2.3. Positive photoresistBy 1972 the limitations of negative photoresists had been reached and Diazonaphtho-

quinone/novolac (DQN) based positive photoresist had taken over the IC photolithographymarket. For the next quarter century DQN based photoresists held over 90% market share [6].

a) Unexposed region b) Exposed region

a) "Good" adhesion

Exposure

Exposed regions Exposed regions

Duringdevelop

b) "Poor" adhesion

Page 16: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 13

The base resin of DQN is novolak resin. Novolak resin is generated by reacting meta-cresol -figure 5.17a, in excess with formaldehyde - figure 5.17b, the result is novolak resin - figure5.17c. The excess cresol during the reaction results in both ends of the novolac resin being ter-minated by phenol groups, therefore the novolak resin does not cross-link.

Figure 5.17: Novolak resin generation.

The photosensitive element in DQN photoresists is naphthoquinone diazide sulfonylchlo-ride. Naphthoquinone diazide sulfonylchloride generation begins with hydroxy naphthalenesulfonic acid - figure 5.18a, which undergoes nitration and reduction with sodium dithionite orraney nickel catalyst - figure 5.18b, which is then reacted with HCl and NaNO2 -figure 5.18c,and ClSO3H at 60oC to produce the sensitizer [7].

Figure 5.18: Generation of Naphthoquinone diazide sulfonylchloride [7].

The combination of naphthoquinone diazide sulfonylchloride and novolak resin creates aphotosensitive resin - DQN - figure 5.19.

OH OH

C O+

Hacid

HCH3 CH3

CH2

a) Meta-cresol(in excess)

b) Formaldehyde c) Novolak resin

OH OH

OHO

O

SO H3 SO H3

SO H3SO H3

SO Cl2

NO2

NH2N2

N2

a) Hydroxy naphthalenesulfonic acid

b) Reduction bySodium dithionite orraney nickel catalyst

d) ClSO H

at 60 C3o

e) Naphthoquinone diazidesulfonylchloride

c) HClNaNO2

Page 17: Photolithography chapter by scotten w. jones

14 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.19: Generation of light sensitive novolak resin - DQN.

When exposed to light the naphthoquinone diazide sulfonylchloride undergoes a reaction,see figure 5.20.

Figure 5.20: Naphthoquinone diazide sulfonylchloride photochemical reaction.

O

O

+

SO Cl2

SO2

N2

N2

a) Naphthoquinone diazidesulfonylchloride

OH

CH3

CH3

CH2

CH2

b) Novolak resin

O O

+ H O2

N2 + N2

+ H2OHydration

DevelopingNaOH

C

C ONa

O

COOH

H

H H

O

a) Naphthoquinone diazidesulfonylchloride

b) Keto-carbene

c) Ketene d) Indene-3-carboxylic acid

Light

SO Cl2

SO Cl2

SO Cl2

SO Cl2

SO Cl2

Page 18: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 15

When it is exposed to light naphthoquinone diazide sulfonylchloride undergoes a pho-tolytic decomposition to an unstable keto-carbene and nitrogen - figure 5.20b. The keto-car-bene immediately rearranges to a more stable ketene - figure 5.20c. The ketene structure is veryhydroscopic and immediately reacts with water to form carboxylic acid - figure 5.20d. This isthe Suss reaction [9] except that Suss believed that the carboxylic acid was indene-1-carboxylicacid which was later shown to be indene-3-carboxylic acid [6]. The carboxylic acid is solublein basic developers.

The absorbance of light versus wavelength for novolak resin and naphthoquinone diazidesulfonylchloride sensitizer is illustrated in figure 5.21.

Figure 5.21: Absorbance of novolak resin and naphthoquinone diazide sulfonylchloride sensitizer versus exposing wavelength.

Also illustrated in figure 5.21 are arrows corresponding to intensity peaks in the output of amercury lamp. Mercury lamps were used as the exposing light source during the time periodwhen DQN was the dominant photoresist. At the beginning of the use of DQN, the broad bandoutput of the mercury lamp was used. As exposure systems became more sophisticated themercury lamp output was filtered to a narrow output band. Initially the 436nm output peak -referred to as the G-line, was the predominant exposure wavelength. As minimum linewidthscontinued to shrink, the 365nm - I-line wavelength began to be used (the effect of exposurewavelength on resolution will be discussed in detail in section 5.6). Throughout the broad bandmercury, G-line and I-line exposure periods, DQN photoresist remained the dominant photore-sist. I-line photoresists were improved formulations of DQN with greater consistency and opti-mized additives.

As the photoresist is exposed at G or I-line, the sensitizer provides the principle absorbanceof the exposing radiation. As the sensitizer reacts to exposure, the sensitizer is “bleached” and

2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

Resin Sensitizer0.8

0.9

1.0

300Wavelength (nm)

Ab

sorb

ance

400 500

I-lin

e

G-li

ne

Page 19: Photolithography chapter by scotten w. jones

16 Copyright © 2000 IC Knowledge LLC, all rights

the absorption drops. The bleaching reactions allows relatively thick photoresist films to beused and as the sensitizer “bleaches” the exposing radiation reaches deeper and deeper into thephotoresist.

During exposure a possible side reaction is the combination of a keto-carbene and a keteneto form a dioxole - figure 5.21. Dioxole formation consumes two reactive specie, but is unlikelyto occur due to the short life of the keto-carbene specie and in dried photoresists the restrictedmovement of molecules [10].

Figure 5.22: Dioxole formation during DQN exposure.

The solvents utilized to keep DQN photoresist in solution were typically cellosolve acetate- ethylene glycol monoethyl ether acetate also referred to as EGMEA. Later in the developmentof DQN photoresists health concerns about EGMEA led to the replacement of EGMEA withPGMEA - propylene glycol monoethyl acetate.

The developing reaction for DQN photoresist is fundamentally different from negativephotoresists. Where negative photoresist developing is a physical reaction - swelling of thepolymer, DQN developing is a chemical etching reaction.

The photoresist in the exposed areas is rendered base soluble by exposure. Bases such assodium hydroxide - NaOH or more commonly tetra methyl ammonium hydroxide - TMAH -(CH3)4NOH, will dissolve the exposed photoresist.

In unexposed regions of the photoresist the sensitizer - figure 5.23a, forms an azo couple -figure 5.23c, with the resin - figure 5.23b, resisting the action of the developer solution. Anunfavorable reaction that can occur during developing is the reaction of the unexposed naph-thoquinone diazide sulfonylchloride - figure 5.24a, with carboxylic acid - figure 5.24b, to formazo dye - figure 5.24b. Azo dye formation is unfavorable unless the photoresist is underex-posed in which case azo dye can cause scumming during develop.

A number of additives are utilized in commercial DQN photoresist formulations. Dyes areadded to mask discoloration of the resist during storage, surfactants are used to improve coatinguniformity, and a variety of additives are used to improve adhesion, heat resistance, dry etchresistance, photospeed, and developing.

O

OO

C

+C O

a) Keto-carbene

c) Dioxole

b) Ketene

SO Cl2

SO Cl2

SO Cl2

SO Cl2

Page 20: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 17

Figure 5.23: Unexposed area of DNQ photoresist during developing.

Figure 5.24: Azo dye formation during develop.

5.2.4. 248nm photoresistIn order to continue shrinking minimum linewidths, the industry continued to explore the

use of shorter exposure wavelengths (see section 5.6 for a discussion of the effect of exposurewavelength on minimum linewidths). The first production worthy tool capable of “deep ultravi-olet - DUV” (140 to 300nm wavelength) exposure was the Perkin Elmer 500 Micrascan� sys-tem [6]. The Perkin Elmer 500 utilized a mercury arc lamp with very low output in the DUVregion. Ideally photoresist for DUV would have much higher sensitivity than the “standard”DQN photoresist in order to support short exposure times and therefore high exposure systemproductivity. Another issue with DQN photoresist for DUV is the high absorbance of thenovolak resin in the DUV region - see figure 5.21.

O O

O O

O O

OH OHHO HO

+SO2 SO2

N2 N N

a) Sensitizer inunexposed area

NaOHdeveloper

b) Resin c) Azo coupled resinand sensitizer

C C

OH

OH

CH2

CH2

O

OH

N2

NN

+

COOH

COOH

H

H

H

H

a) Naphthoquinone diazidesulfonylchloride

b) Indene-3-carboxylic acid

c) Azo dye

SO Cl2

SO Cl2

SO Cl2

SO Cl2

Page 21: Photolithography chapter by scotten w. jones

18 Copyright © 2000 IC Knowledge LLC, all rights

In 1978, Jean Frechet and Grant Willson set out to design a chemically amplified photore-sist with much higher sensitivity than existing photoresists [6]. In a chemically amplified pho-toresist system a catalytic specie generated by exposure causes a cascade of subsequentchemical reactions to occur. Frechet and Willson were joined by Hiroshi Ito and imaging sys-tems were produced with two orders of magnitude greater sensitivity than DQN photoresists.The original systems lacked sufficient resistance to subsequent processing but fairly quicklythe team developed a practical system based on the poly(p-hydroxystyrene) polymer - PHOST[6] - see figure 5.25a.

Figure 5.25: PHOST and PBOCST polymers [6].

They found that the t-butylcarbonate protected monomer PBOCST - see figure 5.25b, wasmore stable than unprotected p-hydroxystyrene [6]. They further found that the protected poly-mer could be unprotected by acid treatment. The combination of poly(4-t-butoxycarbonloxy-styrene) - PBOCST with a photoacid generator yielded a practical photoresist that couldproduce a positive or negative tone image just by changing the developer. The resulting photo-resist is referred to as tBOC. tBOC photoresists have two components:• Acid generator - an onium salt cationic photoinitiator which upon exposure to light gener-

ates an acid by

(5.11)

- see figure 5.26.• Protected polystyrene - PBHOST

The exposure deprotection reaction of tBOC is illustrated in figure 5.27In figure 5.27 the reaction of the protected polymer PBOCST with an acid - figure 5.27a,

produces a deprotected polymer PHOST - figure 5.27b and additional acid - figure 5.27c. Thegeneration of acid during the deprotection reaction allows a single photo event to catalyze thedeprotection of multiple PBOCST molecules. Partially protected PHOST forms the base resinfor all modern advanced positive photoresists [23].

The tBOC photoresist can produce a positive image if a polar solvent such as alcohol or anaqueous base is used for developing and produce a negative image if a non polar solvent suchas anisole is used.

CH2CH )n(

OH

(CH2CH)

CH3

CH3CH3

O

b) PBOCSTa) PHOST

O

OC C

Ar3S-AsF6- hν AR2S Ar R HAsF6+⋅+⋅+→+

Page 22: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 19

Figure 5.26: Onium salt photoacid generators [24].

Figure 5.27: tBOC photoresist deprotection mechanism [23].

Following exposure a post exposure bake (PEB) is required to aide in the diffusion of theacid and to drive the catalytic reaction. A problem occurs with chemically amplified photore-sists when the photoresist is exposed to amines between the exposure and PEB steps. Theamines can neutralize the acids in the surface of the photoresist and lead to overhangs or T topson the photoresist lines. Improved photoresist formulations and filtration to remove amines to<1ppb are effective in eliminating T-topping (amine levels of >10ppb are not uncommon in ICfabrication facilities.

Figure 5.28 illustrates the absorbance of PHOST, PBOCST and an onium salt PAG versus wavelength. It can be seen that the absorbance of PBOCST and PHOST at 248nms is

acceptably low for use as a base polymer.

a) Diazonium salts

b) Diphenyliodonium salts

c) TriphenylSulfonium salts

d) Tetraphenylphosphonium salts

N PF2 6

IAsF6

SSbF6

PbF6

2

3

4

(CH2CH)

CO2

(CH2CH)

CH3 CH3

CH2

CH3

CH3

CH3 CH3CH3

O OH

+

+

a) LipophilicPBOCST

b) HydrophilicPHOST

c) Regenerationof acid

O

O

H C3

C C C

CH

+

H+

+

Page 23: Photolithography chapter by scotten w. jones

20 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.28: Absorption spectra for polyhdroxy styrene photoresist components [24].

5.2.5. 193nm photoresistAt the time that this book is being written, 248nms is the mainstream wavelength for criti-

cal exposures at the current state-of-the-art minimum linewidth (180nms). In order to continueto shrink minimum linewidths, 193nm exposure wavelengths are being investigated for 130nmand smaller minimum linewidth production. From figure 5.28 it can be seen that the absorbanceof PBOCST and PHOST below 248nms is unacceptably high, therefore 193nm photoresist willonce again require a new base polymer - see figure 5.29. The best 193nm polymers availabletoday include [25]:• Acrylic polymers (acrylate based platforms with attached alicyclic structures). Acrylics

provide high resolution but relatively poor etch resistance. The incorporation ofcycloaliphatic side groups in the polymer backbone reduces the etch rate.

• Cyclic olefins provide intrinsically good etch resistance. A nonbornene copolymer with atransition metal catalyst developed by BF Goodrich and IBM demonstrated sub-100nm res-olution in 1999 [25].Issues with PAG selection and photoresist outgassing also remain.

5.2.6. 157nm photoresistThe formulation of photoresist for 157nm exposure wavelengths is still in the very early

stages. A Curtin, et.al. at MIT have found that 157nm absorbance is dominated by C (2p) elec-trons and that the bonding configuration is expected to greatly influence the absorption [26].Acrylic, Phenolic, and Cyclo-olefin based photoresists can all achieve acceptable optical trans-mission at 157nms if the photoresist thickness is <90nms, however, industry experience sug-gests that defect density levels will be unacceptably high at such a thin photoresist thickness

2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7PHOST

Ph l2

AsF6

PBOCST

0.8

0.9

1.0

300Wavelength (nm)

Ab

sorb

ance

400

248n

m

-

+

Page 24: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 21

(industry experience to-date is that the defect density increase exponentially as the photoresistthickness is reduced [26] - see figure 5.30).

Figure 5.29: Absorbance of PHOST versus polyacrylate [27].

Figure 5.30: Defect density versus photoresist thickness [26].

5.2.7. Photoresist summaryTable 5.3 summaries the properties of the photoresist presented in the preceding section

1750

0.1

0.2

0.3

0.4

0.5

0.6

0.7p-hydroxystyrene

Polyacrylate

0.8

0.9

1.0

200 300Wavelength (nm)

Ab

sorb

ance

400

193n

m

Photoresist thickness (nm)10

1997 SIA roadmap

Kunz (1992) [31]

Early (1992) [28]

Muller (1992) [29]

Kuan (1988) [30]

0.001

0.01

0.1

1.0

10

100

Def

ect

den

sity

/cm

2

1,000

100 1,000

Page 25: Photolithography chapter by scotten w. jones

22 Copyright © 2000 IC Knowledge LLC, all rights

5.2.8. Photoresist critical parametersThere are a number of critical parameters that may be used to define the performance of a

photoresist.

5.2.8.1. Dose to clear (E0)If film thickness versus the natural log of exposure dose is plotted for positive photoresist

following develop, the dose to clear is the exposure dose where the film thickness remainingreaches zero - see figure 5.31.

Table 5.3: Photoresist summary.

Characteristic bis-arylazide -polyisoprene DQN tBoc

Type Negative Positive Negative or

Positive

Exposure wavelength (nm) 350-450 365, 436 248

Usable resolution (nm) ~2,000 ~250 50?

Cost ($/gal) ~$100 $300-$800 ~$2,000

Special sensitivities Oxygen during

exposure

None Amines between

exposure and

PEB

Humidly comments High humidity

causes loss of

adhesion - 30 -

40% RH ideal

Humidity required

during develop - 35 -

45% RH ideal

Adhesion Excellent Good Good

Plasma etch and implant compatibility

Excellent Good Good to fair

Developing reaction Solvent develop

swells polymer

Aqueous develop

dissolves resist

without swelling

Aqueous or sol-

vent develop dis-

solves resist

without swelling.

Wet strip Sulfonic acid strip-

pers that form acid

with water and are

hard to dispose of

NMP or aqueous

based stripper with

reduced moisture

sensitivity and eas-

ier disposal.

Page 26: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 23

Figure 5.31: Dose to clear.

Dose to clear is a measure of the sensitivity of the photoresist. The lower the dose to clearthe more sensitive the photoresist is for a given thickness and exposing wavelength. More sen-sitive photoresist are advantageous for high productivity of exposure equipment - high sensitiv-ity photoresist expose more quickly for a given exposure source intensity. Dose to clear isdependent on the thickness of photoresist being exposed due to interference effects (see section5.6.9). A plot of dose to clear versus film thickness is called a swing curve - see figure 5.32.

Figure 5.32: Dose to clear swing curve.

0.0

0.2E0

� =dt/t0

�ln(dose)

t (dark erosion)l

0.4

No

rmal

ized

film

th

ickn

ess

(t/t

) 0

Ln exposure dose (mJ/cm )2

0.6

0.8

1.0

0.90 1.00 1.10 1.20 1.30Photoresist Thickness ( m)�

Do

se t

o C

lear

E(m

J/cm

)o

2

100

120

140

160

180

200

Page 27: Photolithography chapter by scotten w. jones

24 Copyright © 2000 IC Knowledge LLC, all rights

5.2.8.2. Gamma (�)Gamma is the slope of the thickness remaining curve between the unexposed region of the

photoresist and E0 - see figure 5.31. Gamma is a measure of contrast, i.e., the difference inexposure required between the exposed and unexposed regions to produce an image. Higher �results in sharper images.

5.2.8.3. Thickness loss (tl)

Thickness loss is the change in photoresist thickness following develop in the unexposedregions of the photoresist - see figure 5.31.

5.2.8.4. Glass transition temperature (Tg)

§ Glass transition temperature (Tg) - the point at which an amorphous polymer undergoes atransition from a brittle glassy state to a flexible rubbery state. Below Tg the polymer is glassyand brittle, and above Tg the polymer is flexible and rubbery. Higher Tg materials showincreased resistance to flow and pattern distortion during bakes, but have poorer mechanicalperformance. Crosslinking increases the stiffness of a polymer above Tg. Following developingit is desirable to crosslink the remaining film to increase the resistance to flow and pattern dis-tortion.

5.2.8.5. Viscosity Viscosity is a measure of the resistance to flow of the photoresist. All other things being

equal, higher viscosities will result in thicker photoresist during coating (see the section oncoating). The viscosity of the photoresist must be properly selected for the desired film thick-ness after coating. Alternately, solid content may be used to characterize the films resistance toflow.

5.2.8.6. Dill parametersFor DNQ photoresist, Dill parameters describe the difference in photoresist absorption ver-

sus wavelength during exposure [32]. Dill A is the absorption of the resist prior to any bleach-ing. Dill B is the residual absorption of the resist after bleaching. Dill C is the rate of absorptionchange or bleaching.

5.3. Surface preparationPhotoresist adhesion to typical wafer surfaces such as SiO2 and metals is critical to faith-

fully replicating the photoresist pattern in etching and ion implantation processes. SiO2 andmetals are considered high-energy surfaces with surface free energies >103dynes/cm, photore-sists are solutions with low surface energy, <36 dynes/cm for typical photoresists. Photoresistsmay therefore be expected to spontaneously wet SiO2 and metal surfaces which might also beexpected to result in good adhesion. There are however several factors which can interfere withphotoresist adhesion:1. If the base solvent has a higher degree of interaction with the wafer surface than the photo-

resist base resin, the solvent can push the resin away from the wafer surface. Later during

Page 28: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 25

soft bake the solvent may evaporate, but the resin may be constrained by the photoresist film from filling the resulting gaps. This issue is addressed by good photoresist formula-tions.

2. The resin-resin interaction can be stronger than the resin-substrate interface interaction, par-ticularly if the base solvent is badly matched to the resin. The resin will then form tight coils to minimize it’s energy, lowering it’s interaction with the substrate (� of the solvent must be close to � of the resin). The intrinsic interaction of the resin with the wafer surface is however the most important factor in adhesion and once again this factor is addressed during photoresist formulation.

3. Surface contamination - particularly moisture. Water has a very high affinity for SiO2 - see table 5.4. If we consider photoresist to have an interaction with SiO2, on the order of the solvents listed in table 5.4, it can be seen that moisture has a much stronger interaction. Moisture interaction with SiO2 is a particular problem because SiO2 readily becomes hydrated from humidity.Surface moisture may take the form of adsorbed surface moisture or chemically bonded

OH - Silanol groups. Surface moisture may be reduced by a bake at >100oC, but silanol groupsrequire a much higher temperature bake - too high to be practical immediately before photore-sist coating.

In order to minimize moisture effects on photoresist adhesion, a variety of surface pre-treatment techniques are used. The most common is the application of Hexamethyldisilazane(HMDS) - see figure 5.33.

The hydrated wafer surface - figure 5.33a, reacts with HMDS to remove adsorbed moistureand the reaction releases NH3 - figure 5.33b. The wafer surface is now terminated with silanolwhich further reacts with HMDS - figure 5.33c to release more NH3 leaving a low surface ten-sion - low moisture surface. The critical surface tension of SiO2 at 50% RH is 78 dynes/cmprior to treatment by HMDS, and 21 dynes/cm after treatment, the absorbed surface moistureprior to treatment is >35 H2O molecules/�m2 and after treatment is < 1 molecule of H2O/�m2

[15]. Furthermore, the treated surface has good photoresist adhesion and forms an interface thatis water repellent.

Table 5.4: Polar interaction of SiO2 with selected liquids.

LiquidPolar

Interaction(dynes/cm)

Heptane 0

Benzene 20

Acetone 58

n Propanol 84

Water 368

Page 29: Photolithography chapter by scotten w. jones

26 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.33: HMDS adhesion promotion [15].

When negative photoresist was still widely used it was not unusual to see HMDS spun ontoa wafer surface in a dilute HMDS - xylene mixture just prior to photoresist coating. The HMDSwould be dispensed, allowed to briefly react with the wafer surface and then spun off. Spinningon HMDS was only partially effective for adhesion promotion, consumed a great deal ofHMDS -xylene solution and produced a great deal of HMDS - xylene waste. By the time posi-tive photoresist was becoming popular, HMDS dispense largely switched over to a vacuumbake environment - see figure 5.34.

HMDS vapor prime systems may be batch systems or single wafer systems as illustrated infigure 5.34. The operation of the single wafer system is as follows:1. The wafer input port is a door into the chamber that opens to allow a wafer to be inserted

onto the wafer chuck. Once the wafer is inserted the port is closed.2. The vacuum pump and valve allow the chamber to be pumped down to a vacuum in the 2 -

20 torr range. 3. A heated wafer chuck is used to heat the wafer to 100 to 150oC.4. While the chamber is under vacuum the valve to the HMDS reservoir is opened and the

vacuum draws off HMDS vapor priming the wafer.

SiSi

Si Si

SiSi

Si Si

SiSi

Si Si

SiSi

Si Si

OO

O O

OO

O O

OO

O O

OO

O O

OO

O O

OO

O O

H O2 H O2 H O2

a) HMDS + hydrated SiO surface2

c) HMDS + surface OH (silanol) d) Lower surface tension - lower H O surface2

b) Adsorbed moisture removal

(CH ) SiNSi(CH )3 3 3 3

(CH ) SiNSi(CH )3 3 3 3 NH3

OSi(CH )3 3 OSi(CH )3 3 OSi(CH )3 3

OHOH

OH

OHOH

OH

OHOH

OH

(CH ) SiOSi(CH )3 3 3 3

+ NH3

Page 30: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 27

5. Following prime the chamber is pumped back down to remove the residual HMDS and flushed with nitrogen.

6. The wafer output port opens and the wafer is withdrawn.Proper vapor priming results in a contact angle of >60o (see chapter 3 for a discussion of

contact angle).Vacuum bake ovens produce essentially no HMDS waste and consume only a miniscule

quantity of HMDS.

Figure 5.34: HMDS Vapor prime system.

5.4. CoatingAlthough there are several methods by which photoresist can be coated onto a wafer, for IC

fabrication the use of spin coating is virtually universal. In the spin coating process a controlledvolume of photoresist is dispensed onto a wafer and then the wafer is spun at high speed to pro-duce a uniform, partially dried photoresist film. The basic coating process is illustrated in fig-ure 5.35.

Figure 5.35: Photoresist spin-coating process [33].

Waferinputport

Vacuumpump

N feed2

WaferHeater

Wafer chuck

Waferoutputport

ValveValve

Valve HMDSReservoir

a) Dispense acontrolledamount ofphotoresist

b) Allow thePhotoresist tospread across

the wafer

c) Rapidly ramp - upthe coater spin speedthrowing off excess

Photoresist

d) Spin at highspeed to forma thin dry filmof Photoresist

Page 31: Photolithography chapter by scotten w. jones

28 Copyright © 2000 IC Knowledge LLC, all rights

The photoresist coating process begins by dispensing a controlled volume of photoresistonto the center of the wafer - figure 5.35a. The initial dispense may be done with the waferstatic or rotating at a few hundred RPMs. The volume of photoresist dispensed depends on thewafer size but is typically 2 to 10ml. The photoresist is allowed to spread through either a staticstep or with the wafer rotating at a few hundred RPMs - figure 5.35b. The wafer is them rapidlyramped up to a high spin speed, typically 1,000 to 10,000 RPMs - figure 5.35c. As the wafer israpidly spun a wave forms in the photoresist within a few RPMs, the wave them forms a“crown-like” structure after approximately 30 RPMs [34]. The crown-like stage indicates thebulk of the photoresist supporting the wave has run-out. Following the formation of the crown-like phase the remaining photoresist is being “scrubbed off” of the wafer generally in the formof a spiral [34]. During the spiral phase thousands of tiny photoresist droplets are propelled offof the edge of the wafer. The spin coater bowl must be properly designed or the droplets ofphotoresist can splash back onto the wafer causing a non-uniform coat. Finally the photoresistis spun further to dry the photoresist by solvent evaporation. The evaporation rate of solventsduring coating is dependent on the photoresist formulation and also on the air flow over thewafer during coating. Coater exhaust must be carefully tuned and controlled to achieve uniformand repeatable photoresist films.

The mathematics of photoresist coating must take into account the multi-component natureof photoresist. The solvents used to keep the photoresist in suspension have relatively highevaporation rate - see table 5.5 During coating the solvent fraction of the photoresist is decreas-ing and therefore the viscosity of the photoresist is increasing.

a evaporation rates are relative to butyl acetate.

Photoresist coating models of varying complexity have been proposed by several research-ers. Sukanek [35],[36] has developed a model of photoresist spin coating taking into accountthe changes in photoresist properties during coating.

The photoresist is assumed to consist of two components, a non volatile and a volatile com-ponent.

Mass balances on a cylindrical control volume on a wafer disc give

Table 5.5: Evaporation rate and solvents for photoresist [39].

Photoresist Solvent Evaporation ratea

Boiling point (oC)

Polybutene SO2 Methyl 2-ethoxyethyl acetate 31 145

DQN Cellosolve acetateButyl acetateDiglyme

20

100

18

156

126

162

PMMA Chlorobenzene 102 126

Rubber azide Xylene 62 140

Page 32: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 29

(5.12)

(5.13)

where, CV is the concentration of the volatile component and CN is the concentration of thenon-volatile component, r is the radius, e is the evaporation rate of the volatile component, h isthe film height and q is the flow rate.

The flow rate is given by

(5.14)

where, � is the spin speed and is the viscosity.The evaporation rate is given by

(5.15)

where k is the mass transfer coefficient, CVS is the concentration of the volatile component atthe surface.

If we assume that

(5.16)

then

(5.17)

and if we introduce the distribution or equilibrium constant K, then

(5.18)

For laminar air, i.e., the reynolds number is <2 x 105

(5.19)

where a is a constant.Then combing 5.12, 5.13, 5.14, 5.18 and 5.19 and rearranging give the dimensionless

forms

(5.20)

and

(5.21)

t∂∂ CNh( ) 1

r---

r∂∂ rCNq( )–=

t∂∂ CVh( ) 1

r---

r∂∂ rCVq( )– e–=

q rΩ2h3 3ν( )⁄=

e k(CVS CV∞ )–=

CV∞ 0→

e kCVS=

e KkCVS=

k aΩ1/2=

y∂τ∂

----- 23--- y3

ν ν0⁄------------ αx––=

x∂τ∂

----- αx x 1–( ) y⁄=

Page 33: Photolithography chapter by scotten w. jones

30 Copyright © 2000 IC Knowledge LLC, all rights

and the dimensionless parameters are defined as

(5.22)

(5.23)

(5.24)

(5.25)

The kinematic viscosity is of the form used by Meyerhofer [37]

(5.26)

For sufficiently large values of t, the preceding equations provide a solution which is inde-pendent of time. From equation 5.22 the final film thickness is given by

(5.27)

From equation 5.27 it can be seen that the film thickness is inversely proportional to thespin speed.

Studies of spin coated films have shown that film thickness is [38]:• Independent of coating volume.• Independent of spin sped during dispense.• Independent of acceleration rate from dispense speed to final spin speed.• Depends on final spin speed - as expected from equation 5.27 - see figure 5.36.• Depends on viscosity - as expected from equation 5.27 - see figure 5.36.• Depends on time up until 5 - 10 seconds when the final film thickness value saturates - see

figure 5.37.Practically speaking consistent coating thickness requires very careful control of the envi-

ronment that the photoresist sees during dispense and spinning. For sub-micron linewidth pro-duction the photoresist temperature should be controlled at the photoresist storage chamber andright up to the photoresist dispensing nozzle. The temperature and humidity of air over thecoater bowel should be controlled to + or - 1oF and + or - 1% respectively. As previously notedthe exhaust must be well controlled to insure consistent solvent evaporation rates. The coaterspin motor RPMs are typically quartz crystal controlled and accurate to <1%.

As previously mentioned the volume of photoresist dispensed is 2 to 10mls. With theadvent of DUV photoresist with costs of approximately $2,000/gal versus $500 to $800/gal fori-line or g-line photoresist, the minimization of dispense volume has taken on increasing impor-tance. The technique of “pre-wetting” the wafer with the photoresist solvent to aide in photore-sist spreading has become common. With pre-wetting 2 to 4ml dispense volumes may be usedto coat a 200mm wafer.

y hΩ1/2 a1/2ν01/2( )⁄≡

x CV e⁄≡

τ tΩa ν01/2⁄≡

α ν01/4K a1/2⁄≡

ν ν0⁄ νS 1 νS–( ) 1 x–1 x0–--------------⎝ ⎠⎛ ⎞ n

+=

hf yfa1/2ν0

1/4 Ω1/2⁄=

Page 34: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 31

Figure 5.36: Film thickness versus final spin speed and viscosity [40].

Figure 5.37: Film thickness versus time [40].

0.51 2

Final spin speed (rpm x 10 )3

Fin

al f

ilm t

hic

knes

s (

m)

3 4 5 6 7

0.6

0.70.80.91.0

2.0

3.0

4.0

5.0

6.0

23cP

43cP

1100cP

2040cP

1.4

1.3

1.2

1.1

Film

th

ickn

ess

(m

)�

Spin time (sec)

1.0

0.9

0.8

0.7

0.60 2 4 6 8 10

Final spin speed = 3,000 RPM

Final spin speed = 6,000 RPM

12 14 16 18 20

Page 35: Photolithography chapter by scotten w. jones

32 Copyright © 2000 IC Knowledge LLC, all rights

5.4.0.1. Edge bead removalWhen a wafer is spin coated, surface tension causes the thickness of photoresist dispensed

to build up at the wafer edge - see figure 5.38.

Figure 5.38: Photoresist thickness versus distance from the wafer edge [41].

The thicker photoresist at the wafer edge may not be fully exposed during exposure andtherefore will not develop completely. During subsequent processing the “edge bead” can flakeoff creating defects. In order to prevent defects the edge bead is typically removed. there aretwo common methods for edge bead removal:1. During coating a solvent may be dispensed onto the outer-edge of the wafer dissolving the

edge-bead. This is a common method for greater than approximately 0.5�m linewidths.2. Below 0.5�m linewidths optical edge bead removal is employed to allow improved control

over how far in from the edge the photoresist is removed (the loss of photoresist at the edge eliminates the ability to produce ICs near the edge). In optical edge bead removal the wafer is exposed to an intense light source that is masked to only expose the wafer edge. The edge bead is fully exposed and develops away during the normal develop process.Irrespective of edge bead removal technique, the wafer backside is typically washed with a

solvent to remove any photoresist that may accumulate on the backside during coating.

5.5. Soft bakeFollowing coating photoresist solvent levels have been reduced from 65-85% to 10-20%.

The photoresist still contains too much solvent for a sufficient difference to exist in developingrates between the exposed and unexposed portion of the photoresist. In order to reduce theresidual solvent level in the photoresist, a soft bake step is employed. Soft baking has addi-tional benefits:• Reduces photoresist free volume reducing subsequent diffusion lengths for DUV resist

improving resolution.• Anneals out residual stresses in the as-coated photoresist and improves adhesion.

00

0.5

1.0

1.5

2.0

2.5

Ph

oto

resi

st t

hic

knes

s (

m)

�3.0

50 100 150Distance from the edge ( m)�

200 250

Page 36: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 33

• Stabilizes the film and reduces the tackiness of the surface.• Reduces residual solvent levels improving the difference in developing rates between the

exposed and unexposed portions of the photoresist.Figure 5.39 illustrates the effect of soft baking on developing rates of DQN photoresist in

0.25N KOH developer after a 30 minute soft bake.

Figure 5.39: Photoresist developing rate versus soft bake temperature [43].

Ideally soft bake would be performed above the Tg of the photoresist to minimize photore-sist free volume and removal of the residual solvent. Figure 5.40 illustrates the effect ofincreasing bake temperature on a photoresist. As the temperature is increased the photoresistfirst loses weight by solvent evaporation, eventually at a high enough temperature the photore-sist begins to break down.

Figure 5.40: Thermal gravimetric analysis of un-baked spun on photoresist [42].

040 60

Hig

h re

sidu

al s

olve

nt

Solventremoval

DQNconvertedto ICA

Compaction,crosslinking,DQNdecomposition

80

Novolak resin

DNQ resist

100 120 140 160

1

2

3

4

5

6lo

g R

Bake temperature ( C)o

20

40

60

80Solvent

loss

Startdecomposition100

% o

rig

inal

sam

ple

wei

gh

t

Temperature

Page 37: Photolithography chapter by scotten w. jones

34 Copyright © 2000 IC Knowledge LLC, all rights

Ideally a soft bake would achieve a photoresist with only a few% of solvent, however thisrequires that the solvent boiling point be approached which is approximately 140oC. For DQNphotoresist decomposes at approximately 100 - 120oC. Practically speaking the maximum softbake temperature of a photoresist is typically set by the temperature at which the sensitizerbegins to break down and baking below Tg is required. Table 5.6 presents the Tg and Td (tem-perature of decomposition) for several common photoresist components.

The Tg of a photoresist may vary from the polymer due to the photoresist free volume. Alsofor DUV photoresist PBOCST has a lower Tg than PHOST. For DUV photoresists soft bakecontrol is critical to control acid diffusion. The DUV softbake must be tailored to the propertiesof the photoresist and the temperature must be controlled to a fraction of a oC whereas 1oC con-trol is adequate for DQN photoresist.

Similar to the situation for coating, the mathematics of soft baking require consideration ofa volatile and a non-volatile component. Consider a film of initial thickness Lfi and with a sol-vent free thickness of Lp. As soft baking proceeds the film gets thinner as the solvent diffusesto the photoresist-gas interface and then evaporates.

A mathematical model of soft baking has been proposed by Tseng, et.al., [44].The variation in photoresist density during baking is given by

(5.28)

where, xA is the mass fraction of the solvent in the film, t is time, z is distance from the bottomof the film, D is diffusivity of the solvent and T is the absolute temperature.

The initial conditions for equation 5.28 are

(5.29)

where, xA0 is the initial mass fraction of solvent in the film.The boundary conditions are

(5.30)

Table 5.6: Tg and Td of common photoresist components [39].

Component Tg (oC) Td (oC)

Rubber 54 200

Azide 100

Novolak 70-120 150-300

Diazoquinone 100

PMMA 105 200

Polybutene SO2 65 125

xA∂t∂

--------z∂∂ D xA T,( )

xA∂z∂

--------⎝ ⎠⎛ ⎞=

x xA0, t 0= =

xA∂z∂

-------- 0, z 0= =

Page 38: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 35

(5.31)

where, km is the mass transfer coefficient of the solvent. Equation 5.30 indicates that the basematerial under the photoresist is impermeable to the solvent.

A mass balance on the solvent near the photoresist-gas interface yields [46]

(5.32)

where, W is the mass, V is the volume, and 0 is the initial density of the film and S is the den-sity of the solvent. As the soft bake proceeds the film becomes more dense and the diffusivityof the solvent is reduced.

From Fujita, et.al., the diffusivity of the solvent is given by [47]

(5.33)

where, � and � are constants that need to be determined experimentally.The value of D is illustrated in figure 5.41 for D0 = 2.74 x 10-12 cm2/s, � = 0.040, and � =0.043 [45].

Figure 5.41: Solvent diffusivity versus solvent content [45].

Substituting equation 5.33 into equation 5.28 and rewriting equations 5.29 through 5.32 inscaled form, results in

(5.34)

DxA∂z∂

--------– kmxA, z Lf t( )= =

dWdt

--------– ρ– SAdLf t( )

dt--------------- ρ– 0DA

xA∂z∂

--------z Lf t( )=

= =

D D0 T( )xA

α βxA+-------------------exp=

0.010-12

10-11

10-10

10-9

10-8

10-7

10-6

0.2 0.4 0.6 0.8 1.0Mass fraction of solvent

Dif

fusi

vity

(cm

/sec

)2

xA∂τ∂

--------xA

α βxA+------------------- α

α βxA+( )2--------------------------

xA∂

z∗∂--------⎝ ⎠⎛ ⎞

2 2xA∂

z∗2∂------------+exp=

Page 39: Photolithography chapter by scotten w. jones

36 Copyright © 2000 IC Knowledge LLC, all rights

(5.35)

(5.36)

(5.37)

(5.38)

where, � = D0t/L2fi, z* = z/Lfi, and L*f = Lf/Lfi.

The preceding moving boundary problem has been solved numerically and the result for aDQN photoresist is illustrated in figure 5.42 [44].

Figure 5.42: Film thickness loss during softbake - m-p cresol novolak photoresist [44].

Photoresist soft baking may be accomplished in a convection oven or more commonlyusing a hot plate mounted onto the coating track equipment. Immediately following coat thewafer is transferred to a hot plat for a 45 - 60 second bake. Ovens hold larger batches of waferbut require ~30 minutes to complete a bake.

5.6. Optical principlesThe dominant method for exposing patterns into photoresist currently in use, is optical

exposure. In order to understand optical exposure and optical exposure systems, it is necessaryto have a basic understanding of some optical principles.

xA xA0, τ 0= =

xA∂

z∗∂-------- 0, z∗ 0= =

xAα βxA+-------------------

xA∂

z∗∂--------exp–

kmD0 Lfi⁄-----------------xA, z∗ L∗f τ( )= =

Lf τ( )ddτ

---------------ρ0ρS-----

xAα βxA+-------------------

xA∂

z∗∂--------

z∗ L∗f=

, z∗exp L∗f τ( )= =

2.00 10 20

70 Co

90 Co

100 Co

30 40 50 60 70

2.1

2.2

2.3

2.4

2.5

2.6

Ph

oto

resi

st t

hic

knes

s (

m)

Soft bake time (sec)

Page 40: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 37

5.6.1. Huygens’ principleConsider the wavefront A - B moving through space in figure 5.43. According to Huygens’

principle, the next successive wavefront - C - D may be constructed by considering every pointon wavefront A - B to be a secondary point source of waves. After travelling out from the sec-ondary point sources for a period of time, each secondary “wavelet” will be a spherical wave-front centered around the secondary point sources. The surface made up of the tangent of all ofthe secondary wavelets will be the new wavefront - C - D.

Figure 5.43: Huygens’ principle.

5.6.2. ReflectionIf a ray of light is incident on a reflective surface, the light ray will be reflected off the sur-

face at some angle. • Law of reflection - the angle of incidence is equal to the angle of reflection.

Figure 5.44a illustrates the law of reflection and figure 5.44b illustrates the reflection of aplane wavefront.

Figure 5.44: Law of reflection.

If a curved reflecting surface is constructed, a reflective lens results. Reflective lens arereferred to as Catoptric. The characteristics of a mirror lens are given by the mirror equation

(5.39)

A

B

C

D

A

a) Law of reflection b) Plane wavefront reflection

B

C

D�1

�1 2= �

1

1 2=

2

�2

1p--- 1

q---+ 2

R---=

Page 41: Photolithography chapter by scotten w. jones

38 Copyright © 2000 IC Knowledge LLC, all rights

where, p is the object distance, q is the image distance and R is the radius of the mirror - see fig-ure 5.45a.

Alternately the lens equation may be expressed as

(5.40)

where, f is the focal length of the lens - see figure 5.45b.

Figure 5.45: Reflective lens calculations.

5.6.3. Focal lengthThe focal length is defined as:• Focal length - the distance from a lens where an incident set of parallel rays exiting the lens

converge.

5.6.4. RefractionOptically transparent mediums have an intrinsic property - index of refraction defined as:

• Index of refraction - the ratio between the speed of light in a vacuum and the speed of lightin a given medium.Refractive index depends on the material of interest, temperature, pressure and also on the

wavelength of radiation passing through the material. The change of refractive index of a mate-rial versus wavelength is referred to as dispersion. Figure 5.46 illustrates the index of refractionversus wavelength for two materials used in lens systems for photolithography exposure tools.Fused silica has been widely used for many years and calcium fluoride (CaF2) is a materialwhich is beginning to see use in the latest generation tools.

When a light ray transits from a material of one index of refraction to a material of anotherindex of refraction, the light ray is bent, i.e. refracted. The degree of bending which results maybe calculated by Snell’s law

(5.41)

1p--- 1

q---+ 1

f---=

Object

a) Mirror calculations b) Focal length

pq f

Image

n1 Θ1sin n2 Θ2sin=

Page 42: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 39

where, n1 is the index of refraction of the first medium, n2 is the index of refraction of the sec-ond medium, 1 is the angle of the ray entering the interface and 2 is the angle of the rayleaving the interface - see figure 5.47.

Figure 5.46: Index of refraction for fused silica and calcium fluoride versus wavelength [11],[12].

Figure 5.47: Refraction.

It should be noted here that since the change in angle for a light ray transitioning betweentwo media depends on the index of refraction of each media respectively, the change in angle iswavelength dependent. The practical effect of this will be discussed in the section on lens aber-ations.

For a refractive lens, the characteristics of the lens are given by the lens makers formula

1.42100

Wavelength (nm)

Ind

ex o

f re

frac

tio

n

1,000

1.44

1.46

1.48

1.50

1.52

CaF2[12]

SiO2 [11]

1.54

1.56

1.58

n1

n2

1

2

Page 43: Photolithography chapter by scotten w. jones

40 Copyright © 2000 IC Knowledge LLC, all rights

(5.42)

where, n1 is the refractive index of the first medium, n2 is the refractive index of the lens mate-rial, p is object distance, q is the image distance, R1 is the radius of the first lens surface and R2is the radius of the second lens surface - see figure 5.48a.

Refractive lens are referred to as Dioptic.In figure 5.48b, the focal length for a refractive lens is illustrated and is given by

(5.43)

Figure 5.48: Refractive lens calculations.

Refractive lens can be fabricated in a variety of different forms, if both lens surfaces areconvex, the lens is a double-convex lens - figure 5.49a, if both surfaces are concave, the lens isdouble-concave - figure 5.49b. Lens may also have one convex and one concave surfacereferred to as a concave-convex lens or one convex surface with one plane surface, referred toas a plano-convex.

Figure 5.49: Refractive lens.

n1p-----

n2q-----+ n2 n1–( ) 1

R1------ 1

R2------+⎝ ⎠

⎛ ⎞=

f n1 n2–( ) 1R1------ 1

R2------+⎝ ⎠

⎛ ⎞ -1=

Object

p q

Image

f

a) Refractive lens calculations b) Focal length

a) Double convex b) Double concave

Page 44: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 41

In figure 5.49a the double-convex lens is shown converging the light rays and in figure5.49b the double concave lens is shown diverging the light rays. As a rule, lens that are thickerin the center of the lens than at the edges are convergent and lens that are thinner in the centerthan at the edges are divergent.

5.6.5. MagnificationMagnifications for lens systems can be defined in terms of the object and the image as:

• Magnification - the image size divided by the object size.

5.6.6. Numerical apertureNumerical aperture is a measure of the “acceptance” angle of a lens and is defined as

(5.44)

where, � is the acceptance angle - see figure 5.50, n is the index of refraction of the background- typically 1, d is the lens diameter and f is the lens focal length.

Figure 5.50: Numerical aperture.

Assuming n equals 1 - such as for air, then the maximum NA is 1 since � cannot exceed90o. For practical lens design, as d increases it is increasingly difficult to achieve high NA.Microscope objectives a few mms in diameter may achieve NAs of 0.9 or even 0.95, but NAsof 0.7 are high for modern exposure tools with field sizes of tens of mms. The limitations ofNA impacts the achievable resolution of an exposure system as will be seen in the section ondifraction.

5.6.7. AberrationsThere are six types of aberration that can occur in a lens system.

5.6.7.1. Chromatic aberrationIn section 5.2.3 it was presented that the characteristics of a refractive lens depend on index

of refraction and that index of refraction depends on wavelength. If light with multiple wave-lengths passes through a refractive lens, the light will be focused at different points dependingon wavelength - see figure 5.51a. For reflective lens systems the angle of incidence equals theangle of reflection irrespective of wavelength and so chromatic aberration does not occur - seefigure 5.51b.

NA n αsin d 2f⁄= =

� d

f

Page 45: Photolithography chapter by scotten w. jones

42 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.51: Chromatic aberration.

Refractive lens system must be restricted to a single narrow wavelength of light for goodfocus or the lens system must be designed to correct for chromatic aberration. If a diverginglens made with a higher dispersion material is combined with a converging lens made with alower dispersion material, chromatic correction may be performed - figure 5.52.

Figure 5.52: Chromatic aberration correction.

In figure 5.52 a converging and a diverging lens are used together to provide correction forchromatic aberration. In order to provide chromatic aberration correction, the diverging lenselement must have higher dispersion than the converging lens element. When typical exposurewavelengths were >300nms, chromatic aberration correction was available, however, at wave-lengths <300nm no suitable high dispersion lens material exists. The fact that reflective lens donot suffer from chromatic aberration is a major advantage in optical system design particularlyas the exposing radiation wavelength is reduced.

5.6.7.2. Spherical aberrationIf a lens is ground with a spherical surface, parallel light rays passing through the outer

edge of the lens will focus to a point closer to the lens than parallel light rays passing throughareas of the lens closer to the center - see figure 5.53a. If the lens is ground with a parabolic sur-face, parallel light rays will focus to the same point irrespective of where they pass through thelens - see figure 5.53b.

Longerwavelength

Longerwavelength

Shorterwavelength

Shorterwavelength

a) Refractive lens a) Reflective lens

Long wavelengthShort wavelength

Page 46: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 43

Figure 5.53: Spherical aberration.

5.6.7.3. AstigmatismLight rays transiting a lens at an angle to the optical axis of the lens focus to a point closer

to the lens than rays that pass through the lens parallel to the optical axis - figure 5.54a. Astig-matism only occurs for off-axis light rays. As an object moves in and out of focus, the resultingimage is first stretched in one direction for a positive out-of-focus condition and then stretchedout in a direction at a 90o angle to the original stretching mode for a negative out-of-focus con-dition - figure 5.54b

Figure 5.54: Astigmatism.

5.6.7.4. ComaAn aberration of image points that lie off of the optical axis. - figure 5.55a. Comatic flair

results in a diffuse tail from an image point which may point towards or away from the opticalaxis - figure 5.55b. Coma becomes worse as field size and aperture increase.

5.6.7.5. Curvature of fieldIdeally an image should be in focus for a flat plane. Curvature of field is when the focal

plane forms a curved surface - figure 5.56.

a) Spherical lens b) Parabolic lens

Parallelrays

Parallelfocus

Oblique rays

Obliquefocus

0 focus+ focus

- focus

a) Optical path

b) Image

Page 47: Photolithography chapter by scotten w. jones

44 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.55: Coma.

Figure 5.56: Curvature of field.

5.6.7.6. DistortionThe transverse counterpart to curvature of field. The radial distortion of points towards or

away from the optical axis - figure 5.57. Distortion results from a change in magnification

Figure 5.57: Distortion.

5.6.8. CoherenceCoherency is “the state or quality of being together” [17]. When applied to light coherency

may be broken down into two categories, temporal and spatial:

Periphery

a) Optical path

b) ImageCenter

Curvedfocusarea

a) Undistorted b) Positive b) Negative

Page 48: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 45

• The temporal coherence of a light source may be characterized by the coherence lengthwhich relates the light source wavelength and bandwidth, and is given by

(5.45)

• Spatial coherence refers to the phase relationship between the photons or wave fronts. Apoint source produces wavefronts that spread out from a single point and our perfectly spa-tially coherent, larger light sources result in less spatial coherency.Temporal coherency can be controlled by wavelength selection and spatial coherency can

be controlled by the ratio of the illumination system condenser lens NA to the objective lensNA - see figure 5.58 and is given by

(5.46)

where, � = 1.0 is incoherent and � = 0 is coherent.

Figure 5.58: Kohler illumination and factors for determining spatial coherence [18].

• Kohler illumination - a light source that is focused in the entrance pupil of the image form-ing optics is called Kohler illumination.

5.6.9. InterferenceIf two light waves are coherent and the electric fields oscillate in the same direction, than

the light waves will interfere with each other. Light waves that meet the aforementioned criteriaand are in-phase will interfere constructively and the amplitude of the two waves will add toeach other - figure 5.59a. If the two waves are 180o out of phase with each other the two waveswill interfere destructively - figure 5.59b. Figure 5.59b illustrates the specific case where thetwo waves have the same initial amplitude and they cancel each other out.

If a single point source shines light onto a pair of slits, from Huygen’s principle the twoslits may be considered as point sources for new light waves. The two sources will be coherentand the resulting waves will interfere with each other - figure 5.60a. If the light from the twoslits is projected onto a screen the resulting light pattern will alternate between light and darkareas due to destructive and constructive interference - figure 5.60b

lC λ2 Δλ⁄=

σNACNAO-----------

dS ′dO------= =

dS

NAC NAO

dS'

dO

Source

Mask Wafer

Condenser Objective

Page 49: Photolithography chapter by scotten w. jones

46 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.59: Interference.

Figure 5.60: Double slit interference [67].

a) Constructive interference b) Destructive interference

a) Double slit interference

d

D

�r

yI

m = 0 zero orderm = +1 first order

m = -1 first order

m = +2 second order

m = -2 second order

m = +3 third order

m = -3 third order

m = +4 fourth order

b) Double slit interference - intensity versus angle

m = -4 fourth order

Page 50: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 47

In figure 5.60, if D >> y and d, then

(5.47)

The phase difference due to the path length difference is

(5.48)

where, � is the wavelength of the light.The intensity of light measured on a screen relative to the intensity at axis is

(5.49)

If more than two slits are used, than the light peaks become narrower and the intensitybetween the peaks is reduced - see figure 5.61. Also, as the number of slits increases the inten-sity of the peaks increases as the square of the number of slits.

Figure 5.61: Multiple slit interference.

Interference can also result from the reflection of light off of thin film interfaces. Whenlight travels from a medium of relatively lower index of refraction to a medium of relativelyhigher index of refraction, the light phase is shifted 180o. When light travels from a medium ofrelatively higher index of refraction to a medium of relatively lower index of refraction, nophase change occurs. In figure 5.62 a light ray is shown reflecting from the top and bottom sur-face of a thin film. The light reflecting from both surface undergoes a 180o phase shift, so if the

Δr d Θsin=

φ 2π Δrλ

------⎝ ⎠⎛ ⎞ (in radians)=

I Iocos2 φ2---=

16I0

9I0

a) 2 slits

b) 3 slits

c) 4 slits

4I0

Page 51: Photolithography chapter by scotten w. jones

48 Copyright © 2000 IC Knowledge LLC, all rights

thickness of the film is sufficient to cause an additional 180o phase shift, destructive interfer-ence takes place.

This analysis assumes the underlying substrate has a higher index of refraction than the thinfilm. The change in wavelength for the light in the thin film relative to air must also beaccounted for and is given by

(5.50)

where, �F is the wavelength in the film, �A is the wavelength in air and nF is the index ofrefraction of the film.

Figure 5.62: Thin film interference.

Reflection from the thin film air and thin film substrate interface can also produce a varia-tion in light intensity in the film due to a standing wave - see figure 5.63.

Figure 5.63: Standing wave.

Standing waves result when the underlying substrate is highly reflective and result in undu-lations in the resulting exposure energy and hence the photoresist profile. Standing waves maybe suppressed by anti reflective coatings that will be discussed in a later section.

5.6.10. DiffractionInterference such as was presented in the preceding section is generally used to describe

situations with a finite number of line or point sources such as multiple slits. Diffraction - a

λFλAnF------=

Substrate

Thin film

Air

xF

Reflecting subtrate

Air

a) Standing wave b) Resulting profile

Photoresist

Page 52: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 49

form of interference is generally used to refer to the interference of waves from an area or infi-nite number of point sources.

Consider the edge illustrated in figure 5.64, without diffraction there would be a sharp tran-sition from a dark to light area. With diffraction, an undulating intensity of light decaying to aconstant level results.

Generally, diffraction results from some type of obstacle existing in the path of the lightrays. From Huygen’s principle, the area of light which is not blocked by the obstacle acts as aninfinite number of point sources and creates interference patterns.

Figure 5.64: Edge diffraction.

Diffraction may be Fresnel diffraction or Fraunhofer diffraction.• Fresnel diffraction - the light source and projection plane are both near the light obstacle

and therefore the light rays may not be considered parallel.• Fraunhofer diffraction - the light rays approaching and leaving the light obstacle are both

parallel.Since diffraction essentially softens the image projected by a light blocking object, diffrac-

tion limits the ultimate achievable resolution of an optical system. Consider figure 5.65, as the width of the slit b approaches the wavelength of the light �, the

difference in light intensity from the region under the slit opening to the region where the slitblocks the light is reduced. At some point when the slit is narrow enough the contrast in lightintensity between the two areas is insufficient to form a usable image. The point at which theimage is no longer usable is the diffraction limited resolution and is given by Rayleigh’s crite-ria

(5.51)

Inte

nsi

ty

Distance

Intensity withdiffraction

Incident light

"Ideal"intensity

Rk1λNA--------=

Page 53: Photolithography chapter by scotten w. jones

50 Copyright © 2000 IC Knowledge LLC, all rights

where, k1 is a process dependent factorFrom equation 5.51 it can be seen that resolution may be improved by, reducing k1, reduc-

ing �, or increasing NA.

Figure 5.65: Single slit diffraction.

Figure 5.66 presents the trend in k1 over the last 18 years.

Figure 5.66: k1 trend [16].

Clearly there is a strong trend towards reducing k1, the question is, how low can k1 go. k1 isthe result of a number of parameters which will be discussed in detail later in the chapter.

At the same time that k1 has been continuously reduced, improved lens design has beenincreasing NA. Figure 5.67 illustrates the trend in NA over the last 30 years.

b

b = 2�

b = 5�

Incident light

1982 1985

k1

Year1990 1995 2000

0.3

0.4

0.5

0.6

436nm

365nm

248nm

193nm

0.7

0.8

0.9

Page 54: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 51

Figure 5.67: Numerical aperture trend.

Increasing NA, increases system resolution by increasing the lens acceptance angle andcapturing more diffraction orders (see diffraction orders in figure 5.60). In the case of NA, theultimate value is not in dispute, as was shown earlier NA is always<1.0. The question is thepractical limit. The best NA values available for a commercial system is 0.7, longer term per-haps 0.8 will be achievable although difficulties in optical design at shorter wavelengths maymake even 0.7 difficult to achieve in next generation tools.

There are difficulties inherent in increasing NA and in decreasing k1. Each approachrequires a great deal of equipment design work or process development. At the same time thatNA has increased and k1 has decreased, � has also been decreasing.

5.6.11. Exposing radiation For many years exposure systems have utilized the output of mercury lamps as an illumi-

nation source. Early exposure systems utilized a broad area of the mercury lamp output forexposure. As exposure systems transitioned to refractive - reduction lens systems, light filteringlimited the light output primarily to the so called G-line of 436nms. 436nm systems were useduntil minimum linewidths shrunk below approximately 0.8�ms. Around 0.6�ms the I-line of365nms took over until below 0.35�ms. The output of mercury lamps below 365nms is limitedand so to continue shrinking wavelengths and enhance resolution, excimer laser sources havebeen employed to produce 248nm and 193nm wavelength light. Early adopters began to use248nm systems at 0.35�ms and virtually all exposure systems for 250nm minimum linewidthsare 248nms wavelength exposure systems. 248nm systems have also been used for 180nm pro-duction. Figure 5.68 summarizes a broad spectrum of available exposure sources and wave-length.

1970 1975 1980 1985 1990

NA

Year1995 2000

0.0

0.1

0.2

0.3

0.4

0.5

Projection

Step & Repeat

Step & Scan

0.6

0.7

0.8

0.9

1.0

Page 55: Photolithography chapter by scotten w. jones

52 Copyright © 2000 IC Knowledge LLC, all rights

In the upper right of the figure, the mercury lamp source is presented with the dominantoutput “lines”. The lower half of the figure presents a variety of laser wavelengths and the gasused to generate the laser beam. The upper left side of figure 5.68 presents the effective wave-length of some non optical exposure sources that have been proposed for post optical exposurewavelengths. Each of these systems will be discussed in detail in the sections on exposure sys-tems.

Figure 5.68: Exposure sources and wavelengths.

5.6.11.1. Excimer lasersThe mercury arc lamp has very little output in the DUV region. Commercial 248nm expo-

sure systems have switched over to excimer laser light sources due to the higher output atwavelengths of interest.

The term excimer comes from the combination of excited and dimer. The excimer laserwas developed in the late seventies and has output in the ultraviolet and near ultraviolet region.The efficiency is high with a quantum efficiency near one and overall efficiency of approxi-mately 4% - quite good for a laser [49]. The efficiency and wavelength of excimer lasers makesthem attractive for lithography use.

In an excimer laser a noble gas such as Kr or Xe is excited in the presence of a halogensuch as F or Cl. A resonant cavity is filled with 2-3% noble gas, 0.1 - 0.3% halogen and the bal-

1,000100

E-li

ne -

546

nm

G-li

ne -

436

nmH

-line

- 4

05nm

I-lin

e -

365n

m

100

200 300

Mid UV

400

1010.10.01

100K

0.00

11nm

0.00

39nm

0.01

23nm

0.03

88nm

0.12

27nm

0.38

81nm

200n

m

600n

m

Gallium ion wavelength at 10 volts accelerating potential

100KeV H and He ions used for ion projection ~0.0006nm2

10K 1KAccelerating voltage (volts)

100 10

0.001

� - rays X - rays

Mercury lampEUV13nm

E-beam

Ions

ArF193nm

KrCl222nm

XeCl308nm

Ar126nm

F157nm

2

N337nm

2

KrF248nm

XeF351nm

Ultraviolet

Vis

ible

Infr

ared

Deep UV

Wavelength (nms)

Lasers

Near UV

Page 56: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 53

ance Ne or He [50]. A high voltage pulse - approximately 12,000 volts for 75 nanoseconds, dis-sociates electrons from the noble gas molecules creating a plasma [51]. In the excited state,noble gas atoms combine with the halogen atoms forming a metastable compound such as KrFor ArF with a lifetime of several nanoseconds. When the electrons in the outer shell of theexcited compound are stimulated to a lower energy level, ultraviolet light is emitted and themetastable compound disassociates in a few femtoseconds into the original elements.

The KrF reactions are [52]:

(5.52)

(5.53)

(5.54)

(5.55)

(5.56)

(5.57)

(5.58)

(5.59)

(5.60)

(5.61)

(5.62)

Excimer lasers with 2 - 20 watts of output are pulsed at 500 - 2,000hz or more with the highenergy pulse lasting 5-20ns. Following each pulse the cavity must be purged to remove longlife molecules and ions created during plasma generation. Purging requires 2 - 3 volumechanges. The gas is cooled and purified with a cryogenic purifier before being reused.

Some of the key laser parameters for lithography are:• Output power - higher output power requires less exposure time to achieve a given expo-

sure dose and this translates into higher tool throughput.• Wavelength stability and bandwidth - effects resolution, depth of focus, distortion and the

overall complexity of the optical system. For example, a wide bandwidth would requiremore chromatic aberration correction in a refractive lens system. Excimer laser systems forlithography employ prisms, gratings or etalons to narrow the laser bandwidth. For example,a KrF excimer laser has a native bandwidth of 300pm [68], commercial lithography laserhave a bandwidth of <1pm.

Kr e – Kr* e – two step ionization - step 1+→+

Kr* e – Kr+ 2e two step innozation - step 2+→+

F2 e- F – F electron attachment+→+

Kr* F2 Ne KrF* F Ne KrF* formation through harpooning collisions+ +→+ +

Kr+ F – Ne KrF* Ne KrF* formation through ion channels+→+ +

KrF* Kr F hν spontaneous emission+ +→

KrF* hν Kr F+→ 2hν stimulated emission+ +

KrF* F2 Kr F F2 KrF*quenching+ +→+

KrF* Kr 2Kr F KrF* quenching+→+

KrF* 2Ne Kr F Ne KrF*quenching+ +→+

KrF* 2Kr Kr2F* Kr tri-atomic excimer formation+→+

Page 57: Photolithography chapter by scotten w. jones

54 Copyright © 2000 IC Knowledge LLC, all rights

Not

e: F

WH

M is

ful

l wid

th h

alf

max

imum

.T

ypic

al p

rodu

ctio

n sy

stem

gas

life

times

are

on

the

orde

r of

100

mill

ion

puls

es a

nd ty

pica

l tub

e lif

etim

es a

re 5

bill

ion

puls

es.

Tabl

e 5.

7: S

elec

ted

exci

mer

lase

rs fo

r lit

hogr

aphy

- Ju

ly 2

000

[53]

,[54]

,[55]

.

Com

pany

Pro

duct

Type

Wav

elen

gth

(nm

)B

andw

idth

FWH

M(p

m)

Rep

etiti

onra

te(H

z)P

ower

(wat

ts)

Pul

se

ener

gy(m

J)

Ene

rgy

dose

st

abili

ty/

# of

pul

ses

Cym

erE

X-5

000

ELS

-500

0

ELS

-501

0

ELS

-600

0

ELS

-601

0

ELX

-650

0F2

KrF

KrF

KrF

KrF

KrF

ArF

ArF F 2

248

248

248

248

248

193

193

157

<100

<0.8

0.6

�0.

6

�0.

5

0.5

0.5

0.3

1,00

0

1,00

0

1,00

0

2,00

0

2,50

0

2,00

0

4,00

0

15 10 10 20 20 10 20

15 10 10 10 8 5 5 5

��

0.8%

/50

��

0.8%

/50

�0.

6%/4

0

��

0.5%

/32

��

0.35

%

�0.

4%/4

0

�0.

2%/8

0

Kom

atsu

KLE

S-G

10K

KrF

248

<0.5

1,00

010

10�

0.5%

/40

Lam

bda

Phy

sic

K10

10

K20

10

K20

20

A20

10

A20

20

F620

F630

F102

0

F103

0

KrF

KrF

KrF

ArF

ArF F 2 F 2 F 2 F 2

248

248

248

193

193

157

157

157

157

<0.6

<0.6

25 <0.6

25 <1.5

<1.5

<1.5

not n

arro

wed

1,00

0

2,00

0

2,00

0

2,00

0

2,00

0

600

600

1,00

0

1,00

0

10 20 30 10 20 6 6 10 10

10 10 15 5 10 10 10 10 10

�0.

5%/5

0

�0.

5%/5

0

�0.

5%/5

0

�0.

8%/5

0

�0.

5%/5

0

�1%

/50

�1%

/50

�0.

5%/5

�0.

5%/5

0

Page 58: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 55

Figure 5.69 illustrates a line-narrowing Etalon.

Figure 5.69: Line-narrowing Etalon [68]

• Pulse repetition rate - early excimer lasers had variations in pulse energy of 30%. In orderto provide consistent exposure energy a large number of pulses must be integrated. Forexample, to achieve a 1% exposure energy control with a 30% pulse variation, 900 pulsesneed to be integrated. Even in more advanced excimer lasers pulse integration is required.High repetition rate is critical for high throughput. The higher the repetition rate the fasterthe minimum number of pulse is achieved. For example, Hitachi has modeled a 300mmstep and scan exposure system and determined that for a 250mm/sec stage and a 30mj/cm2

photoresist, that a 30% improvement in throughput may be realized by increasing the pulserate from 1kHz to 2kHz.Table 1 illustrates some selected commercial excimer lasers available for lithography use

as of July 2000 [53],[54],[55].Currently:

• KrF lasers with 248nm output are widely used for 180nm minimum linewidths and early130nm linewidth develop (KrF may also be used for 130nm linewidth production).

• ArF lasers with 193nm output are being widely evaluated in development programs for<130nm linewidth production.

• The F2 laser with 157nm output is just beginning to be looked at for <100nm production. Itshould be noted that while the F2 is commonly referred to as an excimer laser it is techni-cally not an excimer.

• Ar lasers with 126nm output have also been discussed as a potential source for lithographyuse. Once again the Ar laser is not technically an excimer.Some drawbacks to excimer lasers include, large size of approximately 2' by 5', low electri-

cal efficiency, require a gas source - currently fluorine, the lasers generate r.f. noise and theoutput is dangerous to human eyes.

5.6.12. Optical transparencyOne issue in optical system design for shorter wavelengths is the optical transparency of

available lens materials. At 436nms and 365nms a variety of lens material were available, at248nms the choices narrow and at 193nms only special low OH - F doped fused silica and cal-cium fluoride (CaF) are suitable lens materials - see figure 5.70.

248

Aperature

248.4

248.5

248.3

248.2

Page 59: Photolithography chapter by scotten w. jones

56 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.70: Optical transmission of selected materials [12],[13],[14].

One open question in the industry currently is whether 193nm systems will be widely usedat 130nm minimum linewidths or whether the 193nm system introduction will be held off until100nm minimum linewidths are required. Another question is how small a minimum featuresize 193nm system will support and when 157nm or alternative post optical exposure systemswill begin to see use. At 157nms - the next step in laser output, only CaF is suitable for lenssystems and if 126nm or 13nm (EUV) systems are fabricated, an all reflective optical systemwill be required.

5.6.13. Coherency effects on resolutionIn order to produce an image a lens must accept at least one order of diffraction. For a coherent illumination source, � = 0, normal plane waves are incident on the reticle

and diffraction angles occur on either side of the zero order, normal to the illumination - seefigure 5.71.

The position of the first order is given by

(5.63)

Since a lens numerical aperture is the sin of the half acceptance angle the minimum resolv-able line becomes

(5.64)

For incoherent illumination, � = 1, the plane waves are non-normal to the mask and onlyone side of the first diffraction order is collected - see figure 5.72.

10050

60

70

80

90Tr

ansm

issi

on

(%

)

Wavelength (nm)

100

200

CaF2 [12]

LiF [14]

Fused Silica [13]

Fused Silica with low OHand F doping [13]

300 400 500

Θsin λd--- NA= =

R 0.5d 0.5 λNA--------= =

Page 60: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 57

Figure 5.71: Coherent illumination [18].

..

Figure 5.72: Incoherent illumination [18].

For incoherent illumination equation 5.52 becomes

(5.65)

and equation 5.53 becomes

(5.66)

So that incoherent illumination is capable of resolving features one half the size of thesmallest feature resolvable by coherent illumination, however, features resolved by incoherentillumination are not as well defined.

0

Illumination

Mask

Objective

Wafer

+1-1

0

Illumination

Mask

Objective

Wafer

1

Θsin λd--- 2NA= =

R 0.5d 0.25λNA

--------------= =

Page 61: Photolithography chapter by scotten w. jones

58 Copyright © 2000 IC Knowledge LLC, all rights

5.6.14. Modulation transfer functionThe preceding discussions on resolution provided resolution limits without taking into

account image quality. The modulation transfer function is a measure of the sharpness or con-trast of a transferred image. The modulation transfer function (MTF) is defined by

(5.67)

and is illustrated in figure 5.73.

Figure 5.73: Modulation transfer function [19].

The effect of coherence on MTF is illustrated in figure 5.74.In figure 5.74 it can be seen that coherent light with � = 0 results in a higher modulation level,i.e. a sharper image down to the point where the � = 0 resolution limit of 0.5�/NA is reached,however at the resolution limit the modulation goes to zero. For incoherent light, � = 1.0, themodulation is lower than for coherent light above the coherent light resolution limit, i.e. theimage is less sharp. Below the coherent light resolution limit coherent light does not producemodulation but incoherent light does until the incoherent light resolution limit of 0.25�/NA isreached.

MIMAX IMIN–

IMAX IMIN+-----------------------------=

b b b

0 1 2 3 4

Illuminationincident on a mask

Illuminationincident on Photoresist

MaskMask Pattern

Lens

PhotoresistWafer

Ideal transfer

Actual transferIMAX

IMI N

Page 62: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 59

Figure 5.74: Effect of coherence on modulation [18].

As the coherence of light is varied from coherent to incoherent, various intermediate valuesof partial coherence may be produced, 0 < � < 1.0. The effect of partial coherent on modulationcan be calculated and is illustrated in figure 5.75 for a 365nm, 0.37NA exposure system.

Figure 5.75: MTF versus coherence for a 365nm, 0.37NA exposure system [20].

An important point to make here is that for each minimum linewidth there is an optimumcoherence value that maximizes modulation. Some exposure systems allow the option ofadjusting NA and coherence for process tuning.

Mo

du

lati

on

Line - space pairs/unit length

0

Coherent transferfunction = 0�

Incoherent transferfunction = 1.0�

1.0

0.5 /NA� 0.25 /NA�

0.2

0.4

0.6

0.3�

0.5�0.7�

0.9�

0.8

1.0

01.67 1.25 1.00 0.83 0.71 0.65 0.56

Line-space pair ( ms)�

Mo

du

lati

on

Page 63: Photolithography chapter by scotten w. jones

60 Copyright © 2000 IC Knowledge LLC, all rights

5.6.15. Aerial imageThe distribution of exposing radiation intensity at the wafer is referred to as the aerial

image. Much as the modulation depends on coherence, the aerial image also depends on thecoherence of the exposing radiation. Figure 5.76a illustrates aerial image versus coherence withfeatures > 0.5�/NA showing an improved image with increasing coherency, and figure 5.76b,illustrates features <0.5�/NA showing an improved image with decreasing coherency

Figure 5.76: Effect of coherence on aerial image [18].

5.6.16. Depth of focusDepth of focus is distance from the focal point that a wafer may be and still produce an

image that meets a specified criteria. Depth of focus is given by

(5.68)

where k2 is a process related factor.Depth of focus has taken on an increasingly important role as shrinking linewidths, � and

increasing NA have resulted in smaller and smaller DOF values. Wafers are not perfectly flat,backside particles may prevent wafers from sitting perfectly flat on exposure system chucksand wafers may also have surface typography large enough to put certain feature out-of-focusfor short wavelength - high NA systems. k2 depends on a number of factors but for a photore-sist with reasonable contrast values may be on the order of 0.5 [18].

5.7. Optical exposureAlthough photolithography experts have been predicting the end of optical lithography

since the mid eighties, optical lithography remains the methodology of choice for patterning ICwafers. With strong resolution enhancement techniques and exposure wavelengths shrinking to193 and eventually 157nms, it is the authors opinion that optical lithography will carry theindustry to the 50nm technology node predicted to occur in 2011 [2].

a) 0.6 /NA�

Position

� = 0

� = 0.9

� = 0.9

� = 0

Inte

nsity

Inte

nsity

Position

b) 0.4 /NA�

DOFk2λ

NA2----------±=

Page 64: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 61

5.7.1. Contact printingThe first commercially available exposure tool was the contact printer introduced in 1964.

A photomask containing a pattern for an entire wafer is brought into contact with the wafer tobe patterned, hence the name contact printer. A contact printer is illustrated in figure 5.77.

Figure 5.77: Contact printer [33].

The contact printer system illustrated in figure 5.77 consists of the following elements:• A mercury arc lamp centered in a parabolic or ellipsoidal reflector to collect the lamp light

and direct the light into the aligner optics. The mercury lamp emits light from an area a fewmms across so the lamp acts like a point source.

• A cold mirror to redirect the light and provide wavelength filtration.• A shutter to control the time the wafer is exposed to light.• An optical integrator creates multiple light images that are then recombined to improve

uniformity.• An aperture to block divergent light rays outside a controlled area.• A turning mirror to turn the light towards the wafer.• A condenser lens to create parallel light rays.• The photomask.• The photoresist coated wafer.

A vacuum chuck which may be moved in two horizontal directions and also moves up anddown a controlled distance to bring the mask and wafer in and out of contact. In order to exposea wafer, a photomask is loaded and rotationally aligned by an operator looking through amicroscope. The rotational alignment insures the mask edges are parallel to the front of thealigner. A wafer is then loaded onto the wafer chuck either manually or by an autoloader in

Mercurylamp

Reflector

Coldmirror

Condenserlens

Turningmirror

PhotoresistPhoto mask Wafer

Waferchuck

Shutter

Opticalintegrator

Aperture(collimation

control)

Page 65: Photolithography chapter by scotten w. jones

62 Copyright © 2000 IC Knowledge LLC, all rights

later generation systems. The wafer flat is aligned to be parallel to the front of the aligner, againeither manually to a fixture or in later systems automatically. If the wafer does not have anyprevious patterns on it the chuck is centered mechanically under the mask, the wafer is broughtup into contact with the mask and the shutter opens for a controlled time exposing the wafer. Ifa previous pattern exists on the wafer the wafer will have alignment marks, typically crosses ofboxes in the center of the wafer and out toward both sides - see figure 5.78a.

Figure 5.78: Alignment marks.

At low magnification the operator will line up an alignment feature in the center of themask with an alignment feature in the center of the wafer. The operator will then switch to ahigher magnification binocular microscope and will simultaneously align the alignment fea-tures on both sides of the mask to alignment features on both sides of the wafers. The feature onthe mask and wafer will be designed so the set on the mask are smaller than the set on the waferand when properly aligned will fit inside of the features on the wafer - see figure 5.78a. Byaligning both sides the wafer and mask may be aligned in both horizontal directions and rota-tionally. Correct alignment of the two marks is illustrated in figure 5.78b, misaligned side toside is illustrated in figure 5.78c, misaligned top to bottom is illustrated in figure 5.78d androtational misalignment is illustrated in figure 5.78e. Figure 5.78f illustrates run-out, run-outoccurs when the mask and wafer have dimensionally changed relative to each other from onelayer to the next, for example large temperature changes causing differences in expansion ormost commonly due to mask flexing during contact. If multiple aligners are in use and onealigner applies more contact pressure between the mask and wafer, the mask can flex more onone machine shifting the outer edges of the mask relative to the wafer. Another aligner printinga later layer with less pressure and therefore less mask flex, may lead to misalignment at theedges due to run-out. Once alignment is achieved the operator presses a button to move themask and wafer into contact and expose the wafer. The wafer and mask must be separated dur-

a) Wafer alignment sites

b) Correct alignment

Wafer feature

Mask feature

f) Run out

e) Misaligned rotationally

d) Misaligned top to bottom

c) Misaligned side to side

Page 66: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 63

ing the alignment procedure so they may move independently. The operator may put the maskand wafer in and out of contact several times during the alignment process.

The resolution of contact printing can be estimated from Fresnel diffraction and is given by

(5.69)

where, z is equal to the gap between the mask and the wafer plus the photoresist thickness. Forcontact printing z is therefore equal to the photoresist thickness. For contact printing NA has nomeaning.

The intrinsic resolution of contact printing is quite good, for example if we assume a bis-arylazide - polyisoprene photoresist with a 400nm exposure and a 1�m (1,000nm) thick photo-resist film, then W is 440nms - although practically speaking the bis-arylazide - polyisoprenephotoresist resolution typically used with contact printing would limit the practical resolution.

There are several drawbacks to contact printing that outweigh the intrinsic resolution andsystem simplicity. • The most significant problem is the transfer of particles and photoresist from wafers onto

the masks. Each time a wafer contacts a given mask, flakes of photoresist and particles aretransferred from the wafer to the mask. Each subsequent exposure degrades the quality ofthe photomask and introduces defects to the patterns printed on subsequent wafers.

• The second issue is that the wafer and mask are aligned while separated and then clampedtogether for exposure. If the wafer moves horizontally relative to the mask while movingfrom the un-clamped to clamped position, the mask will be misaligned to the wafer.

• A third issue relates to mask and wafer flexing. In order to insure consistent mask to wafercontact and resolution, the wafer is held against the mask by mechanical force and a back-side nitrogen pressure. The mask flexes from the pressure. The degree of mask flexingmust be exactly uniform from one exposure layer to the next, or the layers will be mis-aligned at the edges of the wafer versus the center - run-out - see figure 5.78f.

5.7.2. Proximity printingProximity printing systems are very similar to contact printing systems with an illumina-

tion system that is typically more optimized for collimated light and a small gap introducedbetween the mask and wafer. From equation 5.58 the gap reduces resolution as the gapincreases, but the gap significantly reduces defects by preventing mask to wafer contact. Thelack of clamping and un-clamping during alignment also helps with alignment accuracy -referred to as overlay. The effect of gap on resolution is illustrated in table 5.8.

Table 5.8: Proximity printer resolution versus gap.

Gap(�m)

Resolution(�m)

10 1.47

15 1.77

20 2.03

30 2.46

W 0.7 λz≈

Page 67: Photolithography chapter by scotten w. jones

64 Copyright © 2000 IC Knowledge LLC, all rights

As table 5.8 illustrates, the resolution of contact printing - 0.44�ms is degraded to>1.47�m even at the smallest gap. At the time that proximity printing was popular variations inmask and wafer flatness required a large gap to insure the wafer did not contact the mask andlimited practical proximity printing resolution to 2 to 3�ms. A proximity printer system isillustrated in figure 5.79. Notice the similarity to the contact printer previously presented.

Figure 5.79: Proximity printer.

5.7.3. Projection printingIn the early seventies, the problems with contact and proximity exposure systems led to the

development of projection printing systems. The most popular exposure system throughoutmost of the seventies and into the early eighties was the Perkin-Elmer projection printer (Per-kin-Elmer eventually became Silicon Valley Group Lithography - SVGL). Projection printershave mask - wafer separation distances measured in centimeters and utilize lens systems tofocus the mask image onto the wafer. The projection printing systems discussed in this sectionare all one-to-one systems (1:1), i.e., the mask image is reproduced at the same size on thewafer. The stepping systems discussed in the next section are also “projection” systems but aredistinct from the 1:1 systems discussed in this section that print a whole wafer at a time. A Per-kin-Elmer projection printer is illustrated in figure 5.80.

The operation of the Perkin-Elmer system is as follows:• On the left side of the figure a light source and slit are shown. In an actual system a fairly

complex optical assembly is utilized to collect and focus the light from a mercury arc lamp,the details of the light source are omitted for clarity. The light source is focused through acrescent shaped slit onto the mask. The width of the slit can be adjusted in segments toinsure uniform light intensity passing through the slit - over the entire length of the slit. Thelight source and slit have a fixed position, everything else shown in the figure pivots on theaxis of rotation shown at the bottom of the figure.

Mercurylamp

Reflector

Coldmirror

Turningmirror

Condenserlens

Photo maskProximity gap 5 to 20 m�

PhotoresistWafer

Shutter

Fly’seyelens

Filter

Page 68: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 65

Figure 5.80: Perkin-Elmer projection printer.

• The two carriage arms are connected together with Pyrex tubes and pivot as a rigid mass.The two arms pivot on a flexure bearing (not shown) located where the axis of rotationintersects the two arms. The mask is held in a mask fixture that firmly holds the mask inplace on the carriage arm (mask holder not shown). The folding mirror block, primary andsecondary mirrors are also mounted on or attached to the carriage arms. The wafer is heldby a wafer chuck (not shown) that clamps the wafer to the carriage arm.

• During exposure the entire carriage assembly pivots around the axis of rotation indicated inthe bottom of the figure and the direction that the carriage pivots through is indicated at thetop of the figure as the scan travel. To expose a wafer the entire carriage assembly scans thelight source slit across the mask. The mask image is reflected off the folding mirror blockto the primary mirror, from the primary mirror to the secondary mirror and back to the pri-mary mirror, from the primary mirror back to the folding mirror block and finally onto thewafer. By controlling the scan speed the wafer exposure can be controlled. In actual sys-tems a sensor is used to adjust the scan speed to insure consistent exposure.

• Also omitted from the diagram is the microscope and optical path utilized for alignment.Early projection printers continued to employ manual alignment similar to contact and

Wafer

Mask

Lightsource

Slit

Carriagearm

Axis ofrotation

Pyrextube

Pyrextube

Foldingmirrorblock

Primarymirror

Scantravel

Secondarymirror

Page 69: Photolithography chapter by scotten w. jones

66 Copyright © 2000 IC Knowledge LLC, all rights

proximity systems, later model projection printers began to use automatic alignment simi-lar to the alignment systems that will be discussed under stepping systems.

• An important feature to note on the Perkin-Elmer projection printers is the use of scanningthrough a slit. Instead of trying to design an optical system capable of projecting the patternfor a whole wafer at one time, the slit system requires low distortion optics only largeenough to image the area of the slit. From the discussions of optics in earlier sections itshould be clear that the larger the system area the more difficult it is to design for high res-olution - numerical aperture in particular suffers at larger areas. Even with the reduction ofthe critical optical area by the use of the slit, typical NA for a Perkin-Elmer system was0.167. The scanning technologies developed by Perkin-Elmer for projection printing is alsoutilized for step and scan systems discussed later in the chapter. Another important featureof the Perkin-Elmer system illustrated in figure 5.80 is the use of an all reflective (Catop-tric) lens system. The catoptric lens system allowed wide exposure wavelengths to be uti-lized without chromatic aberration. The catoptric lens system in the Perkin-Elmerprojection system enabled early DUV exposure work to be done by utilizing the broadbandDUV output of a mercury lamp. The Perkin-Elmer projection printer as well as projection printers from other companies

revolutionized photolithography in the early seventies. Projection printers offered low defectdensities and almost infinite reuse of masks. The development of pelicles (see section 5.8.1.1)in conjunction with projection printers led to an order of magnitude reduction in mask defectsrelative to contact printing. The major drawback to 1:1 projection printers is the limitation tominimum linewidths greater than approximately 1um.

5.7.4. Stepping systems

5.7.4.1. Step and repeatFirst introduced in the early eighties, step and repeat systems (steppers) were developed in

response to resolution issues with projection printers. In a step and repeat system a reticle isused that contains the patterns for one or more chips but does not contain enough chip patternsto pattern a whole wafer in one exposure. The reticle pattern is exposed onto the wafer and thenthe wafer stage “steps” the width of a patterned area and exposes the wafer again. By steppingand exposing multiple times the entire wafer area can be patterned. A basic step and repeat sys-tem is illustrated in figure 5.81.

There are several advantages to the step and repeat approach:• The patterned area on a reticle is smaller than the patterned area on a full wafer mask. The

smaller area reduces the cost of reticle production. • The smaller patterned area and other stepper enhancements allow each reticle exposure to

be focused and aligned to previous layers. Issues with wafer expansion or contraction rela-tive to the mask and wafer flatness become less important since only part of the wafer isexposed at a time and each area is separately focused and aligned.

• The smaller exposure area of a step and repeat system require smaller optics simplifyinghigh numerical aperture optics design and enhancing resolution.

Page 70: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 67

• Step and repeat systems used for critical patterning typically have reduction optics thatreduces the reticle feature size by a fixed amount for printing on the wafer. The most com-mon reduction ratio currently in use is 5:1. 5:1 reduction allows reticle features to be cre-ated at 5 times the size of the desired wafer feature. The larger reticle features are easier forthe reticle makers to produce lowering cost. The reduction also makes the reticle less sensi-tive to particles. Basically a particle on the reticle must be 5x the minimum resolvable fea-ture in order to print on the wafer.

Figure 5.81: Step and repeat system. Adapted from [17].

The basic elements of the step and repeat system are:• The illumination system consisting of a mercury lamp, a parabolic mirror for light collec-

tion and focusing, a filter to narrow the exposing light wavelengths and a condensing lensto focus the light into the stepper system.

• A reticle.• A reduction lens or lens system to focus a reduced image of the reticle onto the wafer.• A wafer stage to step the wafer under the stepper optics.

The system illustrated in figure 5.81 is a greatly simplified system diagram and does notshow any of the stage motion and motion control systems that will be discussed later.

One limitation of stepping systems is the size of the biggest die the system can produce.For a given lens diameter, the largest square die that will fit in the lens is illustrated in figure

Mirror

Mercury lamp

Filter

Condenserlens

Reticle

Reductionlens

Stage

Wafer

Page 71: Photolithography chapter by scotten w. jones

68 Copyright © 2000 IC Knowledge LLC, all rights

5.82.The limits a stepper places on maximum die size were generally not an issue until theearly nineties when some very large die such as microprocessors would only fit one per stepperfield. It was expected that the maximum die size would soon exceed the size allowed by a step-per lens but the recent increase in the rate at which minimum feature sizes are shrunk hasstopped or even reversed the growth rate in die sizes.

Figure 5.82: Maximum square die for a round lens opening.

Table 5.9 presents the specifications for selected reduction step and repeat systems avail-able as of August 2000.

The second limiting factor on die size is reticle size and reduction ratio. The “standard” ret-icle size is 150mms with a usable area of 140mms. The maximum die size the reticle supportsbecomes the reticle size divided by the reduction ratio. Some early steppers had 10:1 reductionratios limiting the maximum die to 14mm/side, the most common stepper ratio currently usedis 5:1 allowing a 28mm/side die. 28mms exceeds the lens field size of most steppers - see table5.9.

5.7.4.2. Step and ScanThe problems associated with making large diameter - high NA lens places a practical

upper limit on the field size of step and repeat systems. For the same lens size a step and scansystem can achieve a larger field size than a step and repeat system. The step and scan system,was invented by Perkin-Elmer and uses a scanning technique to increase the allowable fieldsize in one dimension. The basic principle of step and scan is that a lens system is stepped to alocation on the wafer and then a slit imaged through the lens scans the reticle image onto thewafer - see figure 5.83.

In figure 5.83, a lens of diameter D can image a slit of length L and a width of a few milli-meters - the slit length is in fact slightly less than D. The entire lens assembly is then scannedalong the scanning distance to produce a field size of width ~D and length equal to the scanningdistance. The ultimate limit on scanning length is the reticle size divided by the reduction ratio.Step and scan systems have standardized on 4:1 reduction so the maximum scan length sup-ported by current 150mm reticles is 140/4 or 35mms.

Lensdiameter: D

Maximum sizefor a square die

0.707D

0.707D

Page 72: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 69

Tabl

e 5.

9: S

peci

ficat

ions

of s

ome

sele

cted

red

uctio

n st

ep a

nd r

epea

t sys

tem

s [5

7],[5

8],[5

9],[6

1].

Man

ufac

ture

Mod

elW

avel

engt

h(n

m)

Res

olut

ion

(nm

)N

AFi

eld

size

(mm

)R

educ

tion

ratio

Ove

rlay

(nm

)

AS

ML

PA

S55

00/2

50C

PA

S55

00/3

00C

365

365

300

250

0.48

-0.6

0

0.48

-0.5

7

22x2

7.4

22x2

7.4

5:1

5:1

<45

<45

Can

onFP

A30

00i5

FPA

-300

0i5+

FPA

-300

0iW

FPA

-300

0EX

4

FPA

-300

0EX

5

FPA

-300

0EX

6

FPA

-300

0EX

3L

365

365

365

248

248

248

248

350

<350

800

<250

220

150

0.45

-0.6

3

0.45

-0.6

3

0.24

0.4-

0.6

0.45

-0.6

3

0.50

-0.6

5

22x2

2~17

x26

22x2

2~17

x26

50x5

0~47

.9x5

2

22x2

2~17

x26

22x2

2~17

x26

22x2

2

5:1

5:1

2:1

5:1

5:1

5:1

<50

<40

<100

<35

<45

<30

Nik

onN

SF-

SF1

00

NS

R22

05E

X14

D

NS

R-2

205i

14E

365

248

365

400

180

350

0.5

25x3

3

22x2

2

22x2

2

4:1

5:1

5:1

45 50 50

Ultr

atec

Mer

cury

XLS

100

Mer

cury

XLS

200

Mer

cury

XLS

248

365

365

248

500

350

250

31 ro

und

5:1

5:1

4:1

Page 73: Photolithography chapter by scotten w. jones

70 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.83: Step and scan - field scanning [33].

A step and scan system is illustrated in figure 5.84. The system shown uses Cadioptricoptics (mixed reflective and refractive optics) and is similar to early generation Perkin-Elmer(now SVGL) systems.• The illumination system illustrated in the figure is a mercury arc lamp. Later generation

systems utilize excimer lasers.• The illumination system is focused onto the reticle through a narrow slit (a few millimeters

wide).• The reticle image is focused onto the wafer through a mixed reflective and refractive lens

systems. The addition of the reflecting elements simplifies lens design and allows a smallerand lighter system to be designed for a given performance level.

• The wafer is held by a stage that steps to each new field location and then scans.• The mask and wafer must both scan at the same time with different rates due to the reduc-

tion ratio. The scan speed is critical since the scan speed determines the exposure. The scanspeed must be uniform through out the scan to insure consistent exposure across the field.

• The system would also include alignment and stage control systems not shown for clarity.

5.7.4.3. Alignment and overlayWhen a pattern is superimposed over another pattern the accuracy of the alignment mark

placement on one layer relative to the other layer is referred to as alignment. Alignment is onlymeasured at the alignment marks. Overlay is the accuracy of the pattern alignments measuredeverywhere.

Stepping system alignment begins with a gross mechanical pre-alignment to within a fewtens of microns. The pre-alignment allows the alignment system to find the alignment marks. Aminimum of two alignment marks is required to allow x and y translation and rotation to beadjusted. A pair of alignment marks allows wafer scale to by checked on one axis, the additionof a third mark allows wafer scale to be checked on both axis. A third mark is required for over-lay <0.1micron

Lensdiameter: D

Maximum size fora rectangular die

D

Scanning distance

Slit

Page 74: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 71

Figure 5.84: Step and scan system [33].

Stepping systems use automatic alignment systems. There are three major wafer alignmentschemes in wide use for stepping systems:• Global mapping - the most widely used scheme. A large number of alignment marks across

the wafer are mapped by the system and used to generate the stepping distances. The draw-back to this scheme is the amount of time involved in mapping the large number of sites.The averaging produced by this approach is good for reducing random errors.

• Site by site alignment - each time the system “steps” to a new site, the site is aligned tomarks produced at the previous layer. This scheme is even slower than global alignment.This scheme is good at compensating for random placement errors at previous levels.

• Two point alignment - two points are checked per wafer and used to align the whole wafer.This scheme is very fast but requires good stage orthogonality and low intra-field errors.Only ASML currently utilizes this scheme.Alignment may be off-axis or through-the-lens:

• Off-axis alignment systems use separate alignment optics for alignment. Off-axis systemsmap the wafer and then use off-sets to compensate for the difference between the alignmentoptics and exposure optics positioning. The offset vector is called the baseline and modernwafer stages have a mark for automatic baseline checks. Temperature changes and changesin how the reticle is positioned when new reticles are loaded can affect the baseline. If fre-quent baseline checks are required the baseline checks can slow down the system.

Mirror

Mirror

Prism

Lenses

Stage

Mercury Lamp

Filter

CondenserLens

Slit

ScanReticle

Mirror

Lens

Wafer

Page 75: Photolithography chapter by scotten w. jones

72 Copyright © 2000 IC Knowledge LLC, all rights

Tabl

e 5.

10: S

peci

ficat

ions

of s

ome

sele

cted

ste

p an

d sc

an s

yste

ms

[57]

,[58]

,[59]

,[60]

.

Man

ufac

ture

Mod

elW

avel

engt

h(n

m)

Res

olut

ion

(nm

)N

AFi

eld

size

(mm

)R

educ

tion

ratio

Ove

rlay

(nm

)

AS

ML

PA

S 5

500/

400C

PA

S 5

500/

550D

PA

S 5

500/

700D

PA

S 5

500/

750E

PA

S 5

500/

950B

365

248

248

248

193

280

180

150

130

<130

0.48

-0.6

5

0.40

-0.6

3

0.50

-0.7

0

0.50

-0.7

0

0.45

-0.6

3

26x3

3

26x3

3

26x3

3

26x3

3

26x3

2

4:1

4:1

4:1

4:1

4:1

<35

<40

<35

<30

<35

Can

onFP

A-4

000E

S1

FPA

-500

0ES

2

FPA

-500

0AS

1

248

248

193

<250

<180

130

0.40

-0.6

3

0.40

-0.6

8

0.40

-0.6

0

25x3

3

26x3

3

26x3

3

4:1

4:1

4:1

<70

<35

35

Nik

onN

SR

-S10

3B

NS

R-S

203B

NS

R-S

204B

365

248

248

180

150

0.68

0.68

0.68

25x3

3

25x3

3

25x3

34:

135

SV

GL

Mic

rasc

an II

I+

Mic

rasc

an IV

Mic

rasc

an V

248

248

193

180

100

0.40

-0.6

026

x34

4:1

45

Page 76: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 73

• Through-the-lens alignment uses the exposure optics for alignment. In theory through-the-lens provides better alignment, however, the exposing light cannot be used for alignmentwithout exposing the wafer. The alignment wavelength can be shifted to a longer wave-length but a monochromatic source must be used such as a He-Ne laser. The monochro-matic light is not ideal for alignment due to interference effects. Off-axis systems use whitelight for exposure that is more flexible.The type of alignment mark used is specific to the stepper manufacture. Alignment marks

may be light field or dark field. Dark field marks where only the edges are shown provide a bet-ter signal but can be difficult to use with grainy metal surfaces.

With global and two point alignment schemes, stage motion must be very tightly controlledwith stepping accuracy's of approximately 100nms. Stage control is accomplished by measur-ing the stage motion with a laser interferometer and using the interferometer output as feedbackto the stage motion control system.

A laser interferometer utilized for stage control works as follows: A He-Ne laser operatedin a magnetic field produces a split beam with two slightly different wavelengths. One beam isreflected from a stationary mirror - preferably attached to the lens, and one beam is reflectedfrom a mirror attached to the stage. The two beams polarization planes are then rotated to coin-cide and the beams are allowed to interfere on an intensity sensor - see figure 5.83.

Figure 5.85: Stepper laser stage control system [56].

The difference in the beam wavelengths produces a beat frequency of a few megahertz.When the stage is moving the frequency shifts by

(5.70)

where, V is the stage velocity and � is the laser wavelength. The beat frequency of the two beam is compared to the frequency of the two beams coming

right out of the laser by a phase comparator.

Laser

MirrorLens

Mirror

Waferstage

Wafer

Sensor

Sensor

Polarizingbeam splitter

Polarizingbeam splitter

Laser

Δf 2V λ⁄=

Page 77: Photolithography chapter by scotten w. jones

74 Copyright © 2000 IC Knowledge LLC, all rights

A 2� phase shift in output is equivalent to distance of

(5.71)

where, �x is the distance moved, 316.4nms for a He-Ne laser. The phase comparator tracks fractions of a cycle equivalent to a few nms.Laser interferometers are sensitive to changes in the index of refraction of air that can be

effected by barometric pressure and or temperature. Slow changes can be compensated for butrapid changes can create inaccuracies in the measurement of the stage motion.

Stage motion may be driven by a one or two stage system. In a two stage system a coursestage driven by a stepper motor and lead screw is utilized for large scale motion and a secondmagnetically driven stage is utilized for fine adjustments. Some steppers utilize a single mag-netically driven stage for the full range of motion. Stages may also have stage leveling and zaxis motion for focusing in addition to x-y motion. Focusing may be accomplished by grazingincident light, a small air tube or a capacitance gage.

5.7.5. G-Line exposure (436nm)Early generation step and repeat systems were either relatively broad band or were G-line

systems. At the time that G-line steppers were main-stream, NAs were relatively low in the 0.3to 0.5 range and k1 reduction techniques were not yet in use. Although k1 reduction techniquesnow available would allow G-line exposure to be used to print smaller minimum linewidths, G-line exposure was only used as a mainstream exposure tool down to approximately 0.8�ms. G-line systems utilized relatively inexpensive DQN photoresists with costs of around $300/gal-lon.

Figure 5.86 illustrates the achievable minimum linewidth for G-line exposure versus NAand k1 factor. Note how with very high NA and very low k1 factors, G-line is theoreticallycapable of <200nm resolution. Figure 5.86 is calculated from equation 5.51.

2π phase shift gives, Δx λ 2⁄=

0.1100

1,000

5,000

Res

olu

tio

n (

nm

)

Numerical aperture0.2 0.3 0.4 0.5 0.6 0.7 0.8

0.2

0.4

0.60.81.0

k factor1

Page 78: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 75

Figure 5.86: G-line exposure resolution versus NA and k1.

5.7.6. I-Line exposure (365nm)I-line exposure entered mainstream use around 0.6�ms. I-line required new photoresists

optimized for greater uniformity and resolution. Although still based on DQN, additional addi-tives and consistency requirements drove up photoresist prices into the $500 to $700/gallonrange. I-line also saw resolution enhancement techniques more widely used and ever increasingNA stepping systems. I-line exposure was mainstream down to 0.35�ms and some peoplemade use on I-line exposure at 0.25�ms with resolution enhancements.

Figure 5.87 illustrates resolution versus NA and k1 factor for I-line exposure. Again notethat minimum theoretical resolutions of 90nms is well below the 250nm cut-off for I-line expo-sure in production use.

Figure 5.87: I-line exposure resolution versus NA and k1.

5.7.7. 248nm exposureThe current production state-of-the-art exposure wavelength is 248nms. 248nm exposure

saw the introduction of widespread KrF excimer laser use as an illumination source and theintroduction of chemically amplified photoresists. Chemical amplified photoresist have nowraised the cost of photoresist to approximately $2,000/gallon and exposure systems cost sevento seven and one half million dollars. 248nms became the exposure wavelength of choice at250nm linewidths and is now in widespread use at 180nm linewidths as well. Although 248nmexposure is theoretically capable of 60nm resolution, many experts expect to see 193nm expo-sure begin to take over at the 130nm linewidth generation. 248nm exposure systems mark thefirst time that CaF has been used in a production exposure system lens with ASML using a few

0.190

100

1,000

4,000

Res

olu

tio

n (

nm

)

Numerical aperture0.2 0.3 0.4 0.5 0.6 0.7 0.8

0.2

0.4

0.60.81.0

k factor1

Page 79: Photolithography chapter by scotten w. jones

76 Copyright © 2000 IC Knowledge LLC, all rights

CaF elements. All other 248nm exposure systems utilize fused silica for lens material. 248nmis just now becoming a mature technology.

Figure 5.88: 248nm exposure resolution versus NA and k1.

5.7.8. 193nm exposureAt the time that this book is being written, 193nm exposure is still in the development

stage. Based on ArF excimer laser - light sources, 193nm exposure systems make more exten-sive use of CaF lens elements. 193nm excimer lasers are less mature than 248nm - KrF laserwhich have higher output and repetition rates. 248nm - chemically amplified photoresists are toabsorbing at 193nm and so new photoresists have had to be developed. 193nm photoresistdevelopment is still very much a work in progress with no one photoresist meeting etch resis-tance, transparency and imaging performance requirements. 193nm photoresist also exhibitoutgassing of low molecular weight products that can oxidize and chemically bond to systemlenses. All of the major exposure system manufactures have announced 1st generation 193nmexposure systems with prices in the ten million dollars per system range.

Theoretical resolution for 193nm exposure systems versus NA and k1 factor is illustrated infigure 5.88. Note that in theory, 193nm exposure is capable of minimum lienwidths down tonearly 40nms.

5.7.9. 157nm exposure157nm exposure using the F2 excimer laser is even earlier in the development cycle than

193nm exposure. Until the last year 157nm exposure was not garnering much interest in theindustry. Just in the last year has it been realized that 157nm exposure may allow optical expo-sure to be extended for one or two more generations pushing off the so called “next generation

0.160

100

1,000

3,000

Res

olu

tio

n (

nm

)

Numerical aperture0.2

0.2

0.4

0.60.8 k factor1

1.0

0.3 0.4 0.5 0.6 0.7 0.8

Page 80: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 77

lithography” alternatives. 157nm exposure requires extensive use of CaF lens elements or alter-natively reflective lens elements may be used. 157nm photoresist are just now starting to beinvestigated. Small exposure field systems are currently available and full field systems areexpected in the next few years.

The theoretical resolution of 157nm exposure is illustrated in figure 5.90. 157nm exposureis theoretically capable of <40nm resolution.

Figure 5.89: 193nm exposure resolution versus NA and k1.

0.140

100

1,000

2,000

Res

olu

tio

n (

nm

)

Numerical aperture0.2

0.2

0.4

0.60.8 k factor1

1.0

0.3 0.4 0.5 0.6 0.7 0.8

Page 81: Photolithography chapter by scotten w. jones

78 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.90: 157nm exposure resolution versus NA and k1.

5.8. Process and resolution enhancementsIn the next section process enhancement and resolution enhancement techniques will be

reviewed. The process enhancement techniques improve process control and or defect density.The resolution enhancement techniques allow smaller linewidths to be printed for a givenexposure wavelength.

5.8.1. Process enhancements

5.8.1.1. PeliclesIf a particle lands on a mask or reticle (the term reticle will be used to include both masks

and reticles from this point forward) and the particle is larger than the minimum resolution ofthe exposure system, the particle will print on every wafer exposed with the reticle in question.Reticles are typically manufactured by patterning a chrome layer on one side of a glass plate.Particles falling on the un-patterned side of the reticle will generally be out of focus and notprinted, therefore only the patterned side of the reticle is susceptible to particle induced defects.If a thin film is stretched over a frame a small distance above the patterned side of the reticle,any particle falling towards the patterned side of the reticle will be blocked from reaching thepattern and will be out of focus, this is what a pellicle does.

0.130

100

1,000

2,000

Res

olu

tio

n (

nm

)

Numerical aperture0.2

0.2

0.4

0.60.8 k factor1

1.0

0.3 0.4 0.5 0.6 0.7 0.8

Page 82: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 79

Figure 5.91a illustrates particles in focus of the patterned side of a reticle and out of focuson the un-patterned side of the reticle. Figure 5.91 illustrates particles out of focus on both sidesof the reticle through the use of a pellicle.

Figure 5.91: Pellicle action.

For particularly critical applications, pelicles can be utilized on both sides of the reticle.One issue with pellicle use at short exposing wavelengths is finding a suitable pellicle

material with acceptable transmission properties.

5.8.1.2. Anti reflective coatingsReflective substrates present a number of problems for photoresist patterning. Reflection

from the underlaying substrate can create standing waves in photoresist, or scattering from theunderlying substrate may lead to photoresist exposure in undesired areas. Figure 5.92 illustrates

a) Without pellicle b) With pellicle

PhotoresistCoatedWafer

LensSystem

Mask orReticle

Glass sidedefectout-of-focus

Glass sidedefectout-of-focus

Pattern sidedefect

in-focus

Pellicle sidedefect

out-of-focusPellicle

FocalPlane

FocalPlane

Page 83: Photolithography chapter by scotten w. jones

80 Copyright © 2000 IC Knowledge LLC, all rights

the variation in linewidth resulting from different substrate reflectivity values. Notice howincreasing substrate reflectivity leads to increasing linewidth variations due to scattering.

Figure 5.92: Spin-on anti-reflective coatings [70]

Figure 5.92 illustrates not only substrate film materials but also substrates coated with var-ious anti reflective coatings. Anti reflective coatings applied underneath the photoresist arereferred to as backside anti reflective coatings (BARC).

The reflectance from a photoresist-substrate interface may be calculated from the Fresnelrelationship

(5.72)

where, n1* is the complex index of refraction of the first media and n2* is the complex index ofrefraction of the second media.

56nm DUV 18/AR1/Si, 54nm DUV 18/ARI/Al155nm XHRI/AI, 160nm XHRI/Si130nm DUV 18/AR1/AI, 137nm DUV 18/AR1/Si

88nm DUV 18/AR1/AI, 92nm DUV 18/AR1/Si

29nm SiNx/Si

90nm XHRI/Al

Tungsten

Silicon

Silicon

XHRI @ 365nmI-Line Resist (0.35µm Lines)

DUV18/AR1 @ 248nmAPEX TM-E (0.3µm Lines)

32nm SiNx/W

128nm XHRI/Al, 105nm XHRI/Si

Aluminum

00

0.05

0.1

0.15

0.2

0.25

0.3

0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0

Aluminum

Lin

ewid

thV

aria

tio

n (

m)

Film Stack Reflectivity at theResist/Substrate Interface

Rn1∗ n2∗–

n2∗ n1∗+-----------------------

2

=

Page 84: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 81

and

(5.73)

where, n is the real refractive index and k is the extinction coefficient.Table 5.11 presents some data for n and k for selected materials at several wavelengths.

When a BARC is utilized the thin film absorption � is given by

(5.74)

and the transmission through the absorbing film T, is given by

(5.75)

where, t is the film thickness of the absorbing film.Increasing k, increases absorption in the BARC but also increases reflectivity at the photo-

resist BARC interface. A reasonable value for k is 0.25 to 1.2 [69]. One technique for optimiz-ing a BARC is to design the BARC so that reflection from the BARC - substrate interface is180o out of phase with reflections from the BARC - photoresist interface, so that the lightreflected from the two interfaces destructively interferes.

Since the BARC must be compatible with pattern transfer either from the developingchemistry or from a short plasma treatment following develop, BARC thicknesses of 50 to80nm are desirable.

BARCs may be either organic spin or deposited films. Organic BARCs are spun-on to thewafer using the same spin-on techniques utilized to apply photoresist. The BARC is typicallyspun-on and then baked, and then the photoresist is spun-on. Organic spin-on BARCs havefallen out of favor due to the high cost of the spin-on material. For state-of-the-art processesFor example, gate polysilicon is coated with a Si3N4 layer and aluminum films are coated withTiN layers as anti reflection coatings.

Table 5.11: Optical constants for selected materials at 436, 365, 248 and 193nm wavelengths [69].

Material193nm 248nm 365nm 436nm

n k n k n k n k

Silicon 0.960 2.88 1.58 3.60 6.41 2.62 4.79 0.175

SiO2 1.56 0.00 1.51 0.00 1.47 0.00 1.47 0.00

Si3N4 2.65 0.18 2.28 0.005 2.11 0.00 2.051 0.00

Aluminum 0.117 2.28 0.190 2.94 0.407 4.43 0.595 5.35

Polysilicon 0.970 2.10 1.69 2.76 3.90 2.66 4.46 1.60

DNQ/novolak 1.70 0.007 1.67 0.007

PHS CAR 1.76 0.007

n∗ n ik–=

α 4πkλ

---------=

T αt–( )exp=

Page 85: Photolithography chapter by scotten w. jones

82 Copyright © 2000 IC Knowledge LLC, all rights

5.8.2. Resolution enhancement techniques (RET)As the minimum feature size being printed shrinks relative to the exposing wavelength,

resolution enhancement techniques are required. For the purpose of our discussions we willdefine weak resolution enhancement techniques as being required below k1 = 1 and NA = 0.7i.e., the ratio of minimum linewidth to exposing wavelength = 1/0.7 = 1.43 (from equation5.51). Strong resolution enhancement is required below k1 = 0.5 and NA =0.7 so that the ratioof minimum linewidth to exposure wavelength = 0.71. Figure 5.93 illustrates the trends in min-imum feature size divided by exposure wavelength versus minimum feature size for severalcommon exposure wavelengths.

Figure 5.93: Resolution enhancement required versus ratio of minimum feature size to exposure wavelength.

Adapted from [48].

In this section each of the various resolution enhancement techniques will be described.

5.8.2.1. Optical proximity correction (OPC)As the minimum feature size that is being resolved shrinks relative to the exposing wave-

length the optics transmitting the image loses information and the resulting aerial image is lesssharp. The practical result for two dimensional images is a “rounding” of the corners of theimage. Optical proximity correction is the addition of features to the reticle image to correct forimage rounding - see figure 5.94.

0.350 100

G-line

I-line

248nm Weakresolutionenhancement

Strongresolutionenhancement

193nm157nm

Minimum feature size (nm)

Min

imu

m f

eatu

re s

ize

div

ided

by

wav

elen

gth

1,000 2,000

1.0

5.0

Page 86: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 83

Figure 5.94a illustrates a mask feature and the image printed on the wafer without OPC.Figure 5.94b illustrates a mask feature and the image printed on the wafer with OPC.

Figure 5.94: Optical proximity correction.

5.8.2.2. Off axis illumination (OAI)In section 5.6.1.3 it was shown that incoherent illumination arrives non-normal to the mask

and wafer plane and only one side of the first diffraction order is passed through the lens sys-tem. By limiting the image formation to one side of the diffraction orders resolution isenhanced to twice what can be achieved by coherent illumination. Off axis illumination (OAI)utilizes an aperture in the illumination path to tilt the arrival of the exposing light. Figure 5.95illustrates three different illumination apertures utilized in exposure systems. Figure 5.95a is aconventional aperture (on axis illumination), figure 5.95b illustrates an annular ring and figure5.95c illustrates quadrupole illumination both of which provide off axis illumination. In eachcase the white area of the aperture is where light is allowed to pass.

Figure 5.95: Off axis illumination apertures.

Annular illumination offers equal improvement in resolution for x and y lines as well as45o lines. Annular illumination is available on most stepping exposure systems and is relativelyeasy to use, but offers only modest resolution enhancement. Quadrupole illumination is moreeffective than annular illumination at enhancing resolution for x and y lines but is worse for 45o

lines.OAI is illustrated in figure 5.96. In figure 5.96a, conventional illumination is used and the

zeroth diffraction order as well as the positive and negative first diffraction orders are passed.

Mask MaskWafer

a) Without OPC b) With OPC

Wafer

a) Conventional b) Annular c) Quadrapole

Page 87: Photolithography chapter by scotten w. jones

84 Copyright © 2000 IC Knowledge LLC, all rights

In figure 5.96b, the tilt of the off-axis aperture allows only the zeroth and positive first diffrac-tion order to reach the wafer.

Figure 5.96: Off-axis illumination [71].

If only the zeroth and positive first order pass the lens system, the optical path length of thetwo rays is identical regardless of the wafer position and in theory depth of focus become infi-nite. In practice only allowing the two rays through provides zero intensity and so some finitewidth to the aperture is required.

The angle at which the rays arrive at the wafer is critical and must be

(5.76)

for the mask features to coincide. OAI must be tuned for each wavelength and set of features to be printed. If lines can be

limited to x - y directions only, quadrupole illumination can be quite effective.

5.8.2.3. Phase shift masks (PSM)In sections 5.6.9 and 5.6.10 the concepts of interference and diffraction were presented. If

we consider the photomask illustrated in figure 5.97a, the electric field has the same phase ineach clear opening. In figure 5.97b, the addition of a phase shifting layer in one clear arearesults in an electric field 180o out of phase for one clear area versus the adjacent clear area.

Illumination system

Condenser lens

Reticle

Projection lens

Wafer

- First order

0 order0 order

+ First order+ First order- First order

a) On axis b) Off axis

ConventionalAperture

Off-axisAperture

sin-1 0.5λ d⁄( )

Page 88: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 85

A 180o phase change is accomplished when the thickness t of the phase shifting layer is:

(5.77)

The shift in phase in the electric field at the mask results in a zero intensity point betweenthe two features projected on the wafer allowing features which are close together to be printed

Figure 5.97: Alternating phase shift mask [71].

There are a variety of different phase shifting mask techniques including, attenuated, alter-nating, sub resolution, rim and chromeless. In each case the objective is to enhance the qualityof the mask image at the wafer.

5.8.2.4. Imaging-interferometric lithography (IIL)In off axis illumination systems the offset angle is limited by the NA of the lens. If the dif-

fraction orders are outside of the lens NA, the orders are not transmitted. In imaging-interfero-metric lithography (IIL) a second optical path is introduced so that lager offset angles may beemployed and therefore larger spatial frequencies, i.e., smaller minimum linewidths.

5.8.2.5. Resolution enhancement technique summaryA spatial frequency analysis of various resolution enhancement techniques has been

reported [55]. A diffraction limited Fourier optics model has been utilized to compare opticallithography without RET, or with OPC, OAI, PSM or IIL. The results are summarized in table5.12. Basically the best attainable resolution for optical lithography without RET is 0.91�, withOPC is 0.63�, with OAI is 0.45�, with PSM is 0.53� and with IIL the best result of all RETtechniques is obtained of up to 0.30�. In theory, IIL with 157nm exposure wavelength can printa 47nm minimum feature.

t λ/2 n 1–( )=

a) Conventional mask b) Phase shift mask

Glass

Electric fieldat the mask

Electric fieldat the wafer

Intensity atthe wafer

0 0

Phaseshifter

Chrome

Page 89: Photolithography chapter by scotten w. jones

86 Copyright © 2000 IC Knowledge LLC, all rights

5.9. Non optical exposureLithography “experts” have been predicting the end of optical lithography since the late

eighties. It is the opinion of the author that a clear path for optical lithography to produce 70nmminimum features exists and that 50nm minimum features may be possible as well. As long aseconomical - optical lithography alternatives exist, the industry will make the safe choice andstay with optical lithography. The preceding argument - argues that non-optical alternatives areso far in the future that picking a winning technology is virtually impossible. In the interest ofcompleteness, the leading post optical lithography candidates will be briefly reviewed.

5.9.1. Extreme ultraviolet (EUV)Extreme ultraviolet (EUV) otherwise known as soft x-rays utilizes 13.4nm wavelength

radiation for exposure. The EUV LLC, a consortium of Intel, Motorola and Advanced MicroDevices along with three national labs have been developing the technology in the US [72].The EUCLIDES program, a consortium of ASML, Carl Zeiss and Oxford Instruments is pursu-ing EUV in Europe [73], and a group in Japan is also working on EUV.

The basic concept of the US - EUV system is illustrated in figure 5.98. The basic systemcomponents and concepts are:• A step and scan - 4:1 reduction exposure approach is used [74],[75].• A laser beam is focused onto a xenon gas jet to produce a plasma. The 45eV blackbody

radiation is collected by a condenser and focused onto the mask [72]. The gas nozzle mustbe erosion resistant and the plasma must be carefully controlled to prevent deposition ontothe first condenser mirror. The laser is pulsed at 6,000Hz currently and higher pulse ratesmay be used to increase the power output [72]. The condenser optics contains 3 mirrors[74].

• EUV radiation is absorbed by all materials [72]. All of the lens are multilayer mirror coatedwith Si and Mo to form distributed Bragg reflectors. A target of 70% mirror reflectivity has

Table 5.12: Frequency space limits of various RET techniques [55].

Technique Maskrequirement

Maximumspatial

frequencyMinimum

k1

MinimumCD for

NA=0.65

Optical lithography Binary NA/� 0.6 �/1.1

OPC Binary with

extra sub-resolution

features

(1.2-1.3)NA/� 0.45 �/1.6

OAI Binary 21/2NA/� 0.43 �/1.5

OAI with pupil plane filters

Binary 2NA/� 0.3 �/2.2

PSM 3D 2NA/� 0.35 ��1.9

IIL Binary (1+NA)/� to 2/� 0.23 to 0.2 �/2.8 to �/3.3

Page 90: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 87

been set by the EUV LLC group [74]. Mirror flatness and the multilayer coatings areextremely challenging, but acceptable result appear to be attainable [72].

• Reticles are formed from patterned reflecting and absorbing layers deposited onto a siliconor low expansion glass substrate [74].

• A 4 mirror system is used to focus the reticle image onto the wafer. The NA of earlydesigns is 0.1NA, suitable for 50nm minimum linewidths and longer term a 0.25NA tool isenvisioned that will be capable of printing 30nm minimum linewidths.

• The whole optical path must be maintained under vacuum to allow the 13.4nm radiation topropagate without too much absorption.

Figure 5.98: Extreme Ultraviolet System. Adapted from [74].

Although the fabrication of an EUV system has many formidable challenges, work at thevarious EUV consortium have now proven the concept to be possible. A 0.1NA - 4:1 produc-tion capable tool is estimated to cost $14.4 million dollars [72]. SVGL has announced plans toUV system by 2003 [76]. Issues remain with fabricating masks with low enough defect densityand with photoresist. Currently modified DUV photoresists 100nm thick are used [72]. Fromthe discussions of 157nm photoresists and figure 5.30, it is clear that such a thin photoresistwould present huge defect density challenges as well. EUV along with Scalpel (see below) hasbeen picked by a SEMATECHG conference as the most likely candidates for post opticallithography [79].

5.9.2. X-ray lithography (XRL)X-ray lithography utilizes even shorter wavelengths than EUV - approximately 1nm. X-ray

lithography has probably the longest history of any post optical exposure technology. Typicallyx-rays are generated by synchrotron beam bombardment an aluminum target. Masks haverecently transitioned to from gold to tantalum and tungsten patterns on a polymer, silicon

HighEnergy

Laser

Target

LaserInducedPlasma

EUV MultilayerCoated CondenserOptics

EUVReflection

MaskEUV MultilayerCoated Imaging

Optics

Wafer

ScanningStage

Page 91: Photolithography chapter by scotten w. jones

88 Copyright © 2000 IC Knowledge LLC, all rights

nitride or silicon carbide substrate [77]. Mask heating remains a big outstanding x-ray issues.Also a synchrotron costs approximately $25M making x-ray the highest equipment cost nextgeneration approach. In spite of the relative maturity of the technology - IBM, NTT and NEChas been a big proponent of XRL for many years demonstrating a number of different func-tional devices fabricated with XRL [78], x-ray has recently fallen out of favor, primarily due toimplementation cost issues.

Figure 5.99 illustrates an exposure station. Several such stations can be accommodated by asingle synchrotron.

Figure 5.99: X-ray system [17].

The basic configuration is:• A high energy electron beam from an electron gun or synchrotron bombard a target in the

top of the exposure system.• The generated x-rays enter the exposure chamber through a beryllium window. • The exposure chamber is filled with helium to prevent x-ray absorption.• The mask is held above the wafer by a small gap, i.e. this is a proximity printing system.• The whole wafer is exposed a one time by a 1:1 photo mask. The lack of a reduction option

is one of the major drawbacks to x-ray technology.

5.9.3. E-beam systems

5.9.3.1. Standard e-beamReticles have been patterned by e-beam systems for many years, it is therefore logical to

look at e-beams for wafer patterning as well. E-beam writer systems typically use 100KeVelectrons which have an effective wavelength of 0.04nms yielding the potential for very highresolution.

Vacuum

Helium

X-Ray target

X-Rays

Electron beamfrom electron gun

or synchrotron

Berylliumwindow

MaskWaferStage

Page 92: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 89

Figure 5.100 illustrates an electron beam system similar to the type of systems utilized towrite mask patterns. The basic system configuration from top to bottom is:• An electron source generates electrons. In the case pictured a hot filament has electrons

drawn off by a electrode with a hole in the middle.• The spray aperture prevents electrons from to far off angle from entering the optics.

The optics of the system are made up of a number of electromagnetic lens.• A beam limiting aperture again limits electron angles to far off axis.• The beam deflector uses a set of electrostatic electrodes to steer the beam allowing patterns

to be written onto the wafer.

Figure 5.100: E-beam system [33].

While systems similar to the one illustrated in figure 5.100 are widely used to write masks,the write time for complex patterns is to slow to be economical for high volume wafer produc-tion.

5.9.3.2. SCALPELWhile electron-beam lithography looks very promising from a resolution stand point, issue

with throughput limit the usefulness of the technology. SCALPEL represents an attempt toincorporate electron resolution into a high throughput system. SCALPEL stands for scattering

Stage

Wafer

Electron Source

Spray Aperture

Beam LimitingAperture

Demagnifying Lens 1

Demagnifying Lens 3

Demagnifying Lens 2

Beam Blanking

Beam Deflection

Page 93: Photolithography chapter by scotten w. jones

90 Copyright © 2000 IC Knowledge LLC, all rights

with angular limitation projection electron beam lithography. The basic SCALPEL system isillustrated in figure 5.101.

Figure 5.101: Scalpel system. Adapted from [80].

The basic principles of the system illustrated in figure 5.101 are:• An electron beam illuminates a mask. • The mask is made up of a low atomic number membrane with a pattern of high atomic

number material formed on it. The low atomic number membrane is 100 - 150nm thickSiNx that is weekly absorbing of electrons at the 100KeV energy used and only weeklyscatters electrons to small angles. The high atomic number pattern is a 25 to 50nm thicklayer of a material such as W that scatters electrons to high angles. An aperture is used toblock the high angle electrons from entering the optics. The thin membranes used are notstable of over large areas and so the mask is supported by a grill. The sections in the maskare only ~1 by 10mms in size and so large die must be stitched together from multiple sec-tions [80],[81].

• The electron beam is approximately 1 � 1 mms and the mask is scanned under the beam toexpose each 1 � 10 mm area. Mask drive motors with laser interferometers are utilize froprecise motion control.

• Electromagnetic optics are used to focus the beam and provide a 4:1 reduction ratio.

LaserInterferometer

LaserInterferometer

LaserInterferometer

LaserInterferometer

MaskMotor

StageMotor

StrutsMask Pattern

Scan

Lens

Lens

Aperture

4X Reduction

Deflector

Stage Wafer

Scan

Step

Step

ElectronBeam

MaskMotor

StageMotor

Page 94: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 91

• Electrostatic deflectors are used to move the beam for “stitching”.• A laser interferometer controlled motor stage is used to set the wafer for each new field.

The overall system is step and scan.SCALPEL systems have demonstrated 80nm contacts and 180nm line-space pairs [80] and

has demonstrated a 1cm � 1cm filed by using 200 stitches [81]. As noted earlier, SCALPELwas picked as one of two leading candidates to succeed optical lithography by a SEMATECHlithography conference.

5.9.4. Ion projection lithography (IPL)Ion projection lithography utilizes 100KeV He+ ions of 0.0001nm in wavelength for expo-

sure [86].

Figure 5.102: Ion projection lithography system.

The basic features of the IPL system illustrated in figure 5.102 are:• An ion source utilizing a radio frequency driven multi cusp filament discharges ions at 3eV

[86]. Extraction electrodes draw off ions from the ion source.• A mass analyzer is used to eliminate ions other than He+ (mass analyzers will be discussed

in the chapter on ion implantation).• An electrostatic lens system accelerates and focuses the ions.• A stencil mask that blocks or allows the ions to pass. The mask is made up of a ~3�m thick

Si membrane covered with a 0.5�m C layer with openings cut in the two layers where Heions are to pass. The membrane is supported by a Si wafer. Ion projection has high intensity and is compatible with a variety of standard and DUV

photoresists.

IonSource

Extraction

Shutter

Stencil Mask

Wafer

ColdTube

MassAnalyzer

X-Y Stage

ElectrostaticLens

System

Page 95: Photolithography chapter by scotten w. jones

92 Copyright © 2000 IC Knowledge LLC, all rights

5.10. Post exposure bakePost exposure bake (PEB) is a bake step performed after exposure but prior to develop.

PEB performed 10 to 20oC above the softbake temperature was first introduced for reduction instanding waves with DQN photoresists.

As discussed in the section on optical principles, monochromatic light used for exposure ofphotoresist over a reflecting substrate results in variations in exposure levels vertically througha photoresist layers. The variations in exposure, result in variations in developing rate and pro-duce a characteristic vertical oscillation in the photoresist sidewalk. Walker [63] first reportedthat a PEB could reduce standing waves. During PEB the PAC in the photoresist diffuses fromhigh concentration regions to low concentration regions reducing the differences in developrate.

PEB has also been found to improve critical dimension control, exposure latitude andenhances the photoresist profile [64]. Elimination of photoresist footing has also been reported[65]. Some researchers have reported additional benefits from PEB at >30oC above softbaketemperatures, such as contrast enhancement, reduction in biases and reductions in reflectivenotching [65],[66].

With the advent of chemically amplified photoresists PEB has taken on new importances.PEB is required to allow the photo generated acid to diffuse and to accelerate the deprotectionmechanism. Chemically amplified photoresist require a bake, typically 90 - 140oC for 1-2 min-utes [87]. Control of PEB temperature and time is critical to control the diffusion length of thephotoacid. If the photoacid diffuse too far, a broadening of the exposed areas may result. Par-ket, et.al, have identified the effect of PEB temperature on critical dimensions [83]. Table 5.13lists several chemically amplified photoresist and the CD change per oC for PEB. Note thatwhile some photoresist are quite insensitive to temperature changes, resist such as APEX E areextremely sensitive.

Hinsberg, et.al., have investigated the diffusion of a photo acid (di-(t-butylphenyl)iodo-nium perfluorobutane sulfonate referred to as TBI-PFBS) in a PTBOCST photoresist [87].From a temperature of 65 - 105oC they found the diffusivity varied from 0.05 - 0.15nm2/sec

Table 5.13: Effect of PEB bake temperature on CDs [83].

Photoresist Supplier Sensitivity(nm/oC) Reference

APEX E Shipley 16.0 84

UV2HS Shipley 7.5 84

Version 1B (193nm) IBM 3.8 85

UV6 Shipley 2.6 85

TM-461 JSR 2.6 85

DP-024 TOK 1.8 85

ARCH 2 Arch 0 85

R2J JSR 0 85

Page 96: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 93

with an activation energy of 1.58eV. As the PTBOCST undergoes deprotection to PHOST, thediffusivity decreases to 0.001 - 0.05nm2/sec with an activation energy of 0.96eV. For a “stan-dard PEB of 100oC for 2mins a diffusion length of ~5nm results.

The chemical reactions in PTBOCST during PEB are quite complex with numerous sidereactions. Figure 5.103a illustrates the desired deprotection mechanism. Figure 5.103b illus-trates various side reactions that can occur depending on exposure and PEB conditions. Theside reaction which can effect contrast and sensitivity are dependent on processing conditionsand illustrate the importance of careful process control for chemically amplified photoresist.

Figure 5.103: Deprotection reaction and side reactions during PEB in PTBOCST resist [87].

5.11. DevelopOnce an image is exposed into a photoresist layer, depending on the tone (negative or posi-

tive) of the photoresist, the develop process either dissolves the photoresist that has beenexposed or the photoresist that has not been exposed. The developer needs to remove photore-sist where removal is desired and have a low attack rate on the photoresist where dissolution isnot desired. The developing process must also be chosen to avoid distortion in the resultingphotoresist pattern.

O

O

O

+ H+ + H++ CO2 + H2C

H2O

a) Predominant reaction

b) Side reactions

PTBOCST polymer

PTBOCST polymert-butyl cation

transient intermediate

t-butoxy radicaltransient intermediate

T-butyl alcohol AcetoneVolatile products

Isobutylene volatilefragmentation product

Numerous volatilebimolecular products

Modified PHOSTstructures

PHOST polymerVolatile fragmentation

products

O

O

CH3

CH3

CH3CH3

CH3CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3 CH3

CH3CH3

CH3

CH3

CH3

+

+

+CH3

CH3

H3O

H3C

H3C H3CH3C

H3C

O

O

HO O

Scissionat A

Scissionat B

B A

OH

OH O

OH

Page 97: Photolithography chapter by scotten w. jones

94 Copyright © 2000 IC Knowledge LLC, all rights

5.11.1. Polyisoprene negative photoresistIn section 5.2.2 the chemistry of polyisoprene negative photoresist was presented. The

developing reaction was shown to be a solvent action swelling the photoresist so that the un-cross linked polymer is washed away. The cross linked polymer swells and then contracts dur-ing rinse and hard bake. The basic negative resist developing process is accomplished by spray-ing solvents onto the photoresist. The solvent action is not particularly temperature sensitiveand negative develop is typically controlled based on spray time, pressure and flow. The sol-vent develop is then followed by a solvent rinse spray to halt the developing action and resistswelling.

5.11.2. DQN Positive photoresistDQN positive photoresist developing is a completely different reaction and chemistry from

negative photoresist. DQN developing is an aqueous chemistry and the developing reaction issimilar to etching as opposed to the solvent and swelling develop process for negative photore-sist. The chemistry of DQN photoresist was presented in section 5.2.3. DQN developers aresalts which produce a pH of 12-13 and are derived from KOH, NaOH, Na phosphates, Na sili-cates, and tetraalkyl ammonium hydroxides, (metal free) bicarbonates [39],[88],[89].

The concentration of DQN developers is frequently given in terms of normality. • Normality - the number of equivalent weight of solute per liter of solution, i.e., a 1N solu-

tion contains one equivalent weight of solute per liter. Commonly used to specify the con-centration of developer solutions.Most developers currently in use are metal free developers such as tetramethyl ammonium

hydroxide (TMAH) due to metal contamination concerns. Rinsing of DQN developers is donewith water.

DQN developing may be performed in a batch, puddle or spray configuration. Batch developing is typically reserved for large linewidths and non critical applications. If

batch developing is used nitrogen bubbling or physical agitation is needed. The developer solu-tion becomes saturated with photoresist over time so either the whole bath must be periodicallyreplaced, or a small portion of the bath must be changed after every lot. If a small portion of thebath is changed an equilibrium concentration of photoresist is reached in the bath after the firstfew lots.

Puddle or spray developing is used for critical - small linewidth applications. Spray develop continually sprays developer onto the wafer being developed. Develop rate

for spray develop is relatively insensitive to spray pressure but varies linearly with spin speed[97]. Spin speed and nozzle coverage must both be tightly controlled for consistent develop.

Puddle develop is when a puddle of developer is allowed to form on the wafer, sits for aperiod of time and is then spun off. Puddle develop is easier to control than spray develop andis more common in high volume applications [62]. Puddle volumes must be sufficient for uni-form developing but should be kept low to minimize backside wetting and chemical costs. Apopular variant of puddle developing is multiple puddle developing. A puddle is formed on thewafer and allowed to sit for 10-20 seconds. the volume of developer dispensed is small and sodepletion of the developer occurs. The puddle is then spun off and a second puddle is formedfor 20-30secs to complete developing [62]. This method has the advantage that the dissolution

Page 98: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 95

of unexposed resist is reduced relative to single puddle develop. If the wafer is rinsed and spundry between puddles the dissolution of unexposed resists is further suppressed [98].

DQN developing rate is effected by developer concentration - see figure 5.104. Increasingdeveloper concentration - increases develop rate and sensitivity, but lowers con-trast[91],[92],[93] Surface active agents such as polyethylene glycol added to developer prefer-entially adhere to the unexposed novolak resin and retard dissolution - increasing contrast[94],[95].

Figure 5.104: DQN developing rate versus developer concentration [90].

Developing rate also depends on developer temperature - see figure 5.105 and exposurelevel - see figure 5.106.

The temperature sensitivity of DQN developers requires careful control of developer tem-perature for constant developing results.

DQN developers may contain surfactants to increase wetting, glycols to increase contrast,novolak resin to increase contrast or other additives.

5.11.3. Chemically amplified photoresistIf the log of the developing rate for a DQN photoresist is plotted versus log of exposure

dose a complex behavior is seen with three distinct regions [62]. The ability to achieve highcontrast is evident from the steep slope of 5.2 for log develop rate versus log dose [101]. Posi-tive acting DUV photoresist show s single developing mechanism and even greater slope of 9.1[101]. Control of DUV developing with TMAH developers requires the same temperature andconcentration controls as DQN developing.

0.1

0.1

1.0

10

100

0.2 0.3 0.4

Unexposed

Dis

solu

tio

n r

ate

nm

/sec

Normality of alkaline developer

Exposed50 mj/cm

2

0.5

Page 99: Photolithography chapter by scotten w. jones

96 Copyright © 2000 IC Knowledge LLC, all rights

Figure 5.105: DQN developing rate versus developer temperature. Data from [96].

Figure 5.106: DQN developing rate versus exposure level [62].

5.12. Hard bakeBecause hard bake is performed after pattern formation, bake temperatures are no longer

limited by the photoresist sensitizer. The only limits on hard bake temperature are photoresistbreakdown and or pattern distortion. Hard bake temperatures are therefore much higher thansoft bake temperatures or even post exposure bake temperatures, and can drive off residual sol-

5 10

DQN 66 mj/cm2

15 20 25 30 350

10

20

30

40

50

60

70

Dis

solu

tio

n r

ate

nm

/sec

Developer temperature ( C)o

0 20 40 60 80 1000

20

40

60

80

100

120

Dis

solu

tio

n r

ate

nm

/sec

Relative exposure

Page 100: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 97

vents more efficiently, i.e. hard bake temperatures may be above Td of the sensitizer and Tg ofthe base resin (see table 5.6) and above the boiling point of the solvent (see table 5.5).

Photoresists typically qualify as thermoplastic resins:• Uncrosslinked linear polymers are free to move in the photoresist when heated (weekly

bonded).• The functional groups on the polymer backbone undergo some crosslinking when heated.• Once a photoresist is crosslinked it resists melting until the temperature is high enough to

break down the photoresist at which point charring occurs. Some flow or image distortionwill occur prior to breakdown.

5.12.1. Negative photoresistNegative photoresist based on polyisoprene rubber have a coiled polymer backbone. Ide-

ally the best adhesion would be expected when the equatorial spacing of the photoresist poly-mer matched the lattice spacing of the substrate - see figure 5.107.

Figure 5.107: Equatorial spacing of polyisoprene polymer in KTMR/KTFR photoresist.

Since the lattice constant for Al is ~0.4nm and for SiO2 is ~0.5nm, from figure 5.107 theideal bake temperatures for optimum adhesion would be 148oC and 115oC for Al and SiO2respectively.and these values are in-line with experiential values.

5.12.2. Positive photoresistFrom the opening part of this section, an ideal hard bake is >Td, >Tg and > the boiling point

of the solvent. From table 5.5 the boiling point for a typical DQN solvent is 156oC, and fromtable 5.6, Td is 100oC and Tg is 70-120oC. Baking above Td is of particular importance forDQN photoresists due to rapid nitrogen evolution that can occur during subsequent high energyprocesses damaging the resist if the sensitizer is not broken down. Baking above Tg may resultin pattern flow and distortion limiting the maximum temperature that can be achieved. Hardbake also drives off water absorbed in the film form develop rinsing. Elevated temperature hard

60

0.7

0.6

0.5

0.4

0.3

0.2

0.1

70 80 90

Temperature ( C)o

Eq

uat

ori

al s

pac

ing

(n

m)

100 110 120 130 140 150 160

Page 101: Photolithography chapter by scotten w. jones

98 Copyright © 2000 IC Knowledge LLC, all rights

bakes produce thermal crosslinking of the novolak resin in competition with flow - see figure5.108.

Figure 5.108: Thermal crosslinking during hard bake [100].

Hard baking of DQN resist in the 120 - 150oC range improves adhesion. DQN photoresistcan oxidize during hard bake with an estimated activation energy of 1.73eV [102].

Hard bake may be accomplished in a convection oven or more commonly on a hot platemounted in-line with photoresist processing equipment.

5.13. Photo stabilizationPhoto stabilization is used to increase the resistance of DQN photoresist to high tempera-

tures. Although the efficiency is quite low, Novolak resin will crosslink at DUV wavelengths[62]. The high absorbance of novolak resin at DUV wavelengths limits the depth of crosslink-ing - see figure 5.21, but when combined with temperatures above 150oC, the DUV exposurecan form a crust on the photoresist that resists flow up to 210oC [61]. DUV hardening may beaccomplished in the presence of oxygen - figure 5.108 top, or without the presence of oxygen -figure 5.108 bottom.

5.14. Photolithography process flowsThe process flows utilized for photolithography have evolved along with the types of pho-

toresists used. In this section a typical process sequence will be presented for each photoresisttype. Variations to these process flows exist but these flow are believed by the author to bemost representative of general practice.

OH

OH

OH

OH

OH

OH

OH

OH

OH

OH

OH

OH

OH

O

OHH O2+HO

HO HC2

a) First stage reaction

b) Second stage reaction

O

O

140 ~150 C-H O

o o

2

above 200 C-H CHO

o

O

O

CH2

CH2

CH2

CH2

CH2CH2CH2

CH2

CH2

CH2

CH2

CH2

CH2OH

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH3

CH2 HOCH2

CH2

CH2

CH2

CH2

Page 102: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 99

Figure 5.109: Photostabilization reaction [61].

5.14.1. Negative photoresist• Dehydration bake (optional) - a high temperature bake to drive off moisture.• Prime - HMDS in xylene spun onto the wafer just prior to coat more recently a vapor prime

step either batch or in-line hot plate.• Photoresist coat• Soft bake• Align and expose• Develop• Develop inspect and metrology.• Hard bake

5.14.2. G-line positive photoresist• Prime - vapor prime either batch or in-line hot plate.• BARC coat (optional)• BARC bake - if a BARC is used the BARC is baked prior to photoresist coating.• Photoresist coat• Soft bake• Align and expose• Develop• Develop inspect and metrology.

OH

OH COO

CH2HO

OH

OH

OH

O

O

+

++

COOH

COOH

CH2

CH2

OH

OH O

� or h

O2

Page 103: Photolithography chapter by scotten w. jones

100 Copyright © 2000 IC Knowledge LLC, all rights

• Photo stabilization (optional) - for high dose ion implants photo stabilization may beadded.

• Hard bake

5.14.3. I-line positive photoresist• Prime - in-line hot plate vapor prime.• Chill plate - returns the wafer to room temperature.• Photoresist coat• Soft bake• Chill plate - returns the wafer to room temperature.• Align and expose• Post exposure bake - for standing wave reduction.• Chill plate - returns the wafer to room temperature.• Develop• Develop inspect and metrology.• Photo stabilization (optional) - for high dose ion implants photo stabilization may be

added.• Hard bake

5.14.4. 248nm photoresist• Prime - in-line hot plate vapor prime.• Chill plate - returns the wafer to room temperature.• Photoresist coat• Soft bake• Chill plate - returns the wafer to room temperature.• Align and expose• Post exposure bake - for standing wave reduction.• Chill plate - returns the wafer to room temperature.• Develop• Develop inspect and metrology.• Hard bake

For DUV photoresist the whole photoresist process is done using a photo cluster where thecoater, developer and exposure system are all linked together precisely controlling the timebetween coat, expose and post exposure bake. Photo clusters may also be used for i-line expo-sures.

5.15. Multilevel photoresistsMultilevel photoresist processing offers the ability to combine a high resolution thin photo-

resist layer with a thicker layer for planarization or etch resistance. A variety of differentschemes are in use and only a representative sample will be presented here.

Page 104: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 101

5.16. Multilayer photoresistAn example of a multilayer photoresist process is the Si-CARL (silicon chemical amplifi-

cation of resist lines) illustrated in figure 5.111. In the Si CARL process a thick layer of photo-resist is first coated onto the substrate to smooth out any topography. A thin imagingphotoresist layer is then applied. The thin imaging layer is exposed and wet developed. Thethick planarizing layer is made up of a highly cross linked novolak resin and is insensitive tothe exposure. Once the imaging layer is developed an amino containing siloxane is reacted withthe imaging resist to form an etch resistant silicon rich layer. The planarizing resist layer is thenetched in an oxygen plasma and the silicon layer protects the imaging layer from the plasma.

Figure 5.110: Si-CARL multilayer photoresist process. Adapted from [69].

Planarizing photoresist

Substrate topography

Imaging photoresist

Developed photoresistimage

Silylated photoresist

Oxygen plasma ions

Mask

Exposing radiation

Page 105: Photolithography chapter by scotten w. jones

102 Copyright © 2000 IC Knowledge LLC, all rights

5.17. Metrology and inspectionPhotoresist patterns and layers are generally inspected to a higher degree than any other

operation in wafer fabrication. In this section a brief review of some common inspection andmeasurement techniques are presented.

Table 5.14 presents a summary of the techniques that will be discussed.

5.17.1. Optical microscopeThe least expensive and easiest inspection method to implement is visual inspection with

an optical microscope. Typically a trained operator inspects a wafer at several sites per waferfor pattern defects. The microscope inspection may be done in bright field - the devices beinginspected is flooded with light and the “normal” image produced is magnified, or alternatelydark field may be used where only light reflected at an angle is collected. In dark field, flatareas appear dark and only topography changes appear light. Dark field inspection is particu-larly well suited to find particles and small changes in height. Other alternatives includeNomarski interference objectives and confocal microscopes. Microscopes commonly achieveNAs of 0.95 so from Rayleigh’s criteria - equation 5.51, the theoretical resolution of white lightmicroscopy is quite good. However, as noted in section 5.6.14, Rayleigh’s criteria says nothingabout the image quality. Even for a one by one micron contact it can be difficult to determinewhether any residues exist in the bottom of the contact utilizing optical microscopes. In anattempt to extend the usefulness of optical microscopes, DUV microscopes have been devel-oped that further extend optical resolution [103]. Another drawback to optical inspection withan operator is that 100% inspection will not find 100% of the defects due to operator error.Confocal and other enhancement techniques do allow practical inspection for pattern defectsdown into the sub micron regime.

Table 5.14: Photolithography inspection and measurement techniques.

Technique Use Linewidth(�m)

Optical microscope Visual inspection ~1.0

Scanning electron microscope (SEM) or critical dimension (CDSEM)

Visual inspection and or critical dimension measurements

0.03

Optical linewidth tools Critical dimensions ~0.5

Automatic optical defect inspection systems

Automatic defect detection and classification <0.1

Optical interference systems Film thickness gauge NA

Ellipsometer Film thickness and index of refraction NA

Reflectometer Reflectance of underlying films NA

Page 106: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 103

5.17.2. Scanning electron microscope (SEM)Scanning electron microscopy extends inspection into the deep sub micron regime. In a

SEM a focused electron beam is generated by a column similar to the one illustrated in figure5.100, and the electron beam is scanned across the sample being inspected. One or more detec-tors are used to detect electrons reflected or emitted by the sample being scanned. The wave-length of high energy electrons is quite short and the usable resolution of SEMs is on the orderof 2nms. Laboratory instruments may have small chambers requiring that a wafer be broken orsawn into small pieces for analysis. Larger defect review SEMs designed for in-line use canaccommodate a whole wafer at once. SEM analysis must be done under vacuum and systemsdesigned for in-line systems may be equipped with load-locks to shorten time o vacuum andcassette to casettes wafer handling. Field emission SEMs offer high resolution at low energiesand are well suited to photoresist inspection where higher energy electrons charge and or dam-age the photoresist film. SEMs may also be utilized to measure critical dimensions (CD) on awafer. A CD SEM will typically be configured to accept a whole wafer at a time, have a cas-sette to casette load-lock system and automatic pattern recognition under software control.Wafers will load into the CDSEM, the SEM will automatically move to a predefined locationor locations on a wafer, find the specific feature to be measured and then measure the feature.The SEM will measure the feature using some type of edge detection algorithm coupled with aknown magnification allows the width of feature to be calculated. Calibration of measuringsystems to a known standard, preferably NIST traceable is essential to get correct absolutewidth measurements. CD SEMs are widely used for CD measurements in the deep sub-micronregime.

5.17.3. Optical linewidth toolFor linewidth measurements larger than approximately 0.5�ms, optical linewidth tools

may be used and are typically less expensive than CDSEMs. In an optical linewidth tool a pre-cision stage scans the wafer being measured under focused beam of light. The intensity of thereflected light changes as the beam is scanned from flat areas over edges. By detecting thechange in reflected beam intensity and measuring the motion of the scanning stage the width offeature may be calculated. Optical linewidth tools must typically be carefully set up for eachlayer being measured. Some vendors will also correlate the values measured by an optical line-width tool to values measured on a CDSEM.

5.17.4. Automatic inspection toolsA variety of automatic inspection technologies are available. The idea behind automated

inspection is to remove the variable of human error from inspection. Digital image processingof optical or SEM images, laser scanning and fourier filtering techniques have all been appliedto automatic inspection. Each technique offers strengths and weakness in terms of throughput,sensitivity for pattern defects, surface particles and low contrast defects [104]. Some type ofautomatic in-line defect inspection is virtually universal for deep sub-micron lithography.

Page 107: Photolithography chapter by scotten w. jones

104 Copyright © 2000 IC Knowledge LLC, all rights

5.17.5. Optical interference systemsOptical interference systems such as the Nanometrics - Nanospec AFT� utilize interference

effects to measure film thickness. A Nanospec utilizes a regulated tungsten bulb output and acomputer controlled grating mono chronometer. The mono chronometer scans through wave-lengths from approximately 400 - 800nms and a photomultiplier tube measures the lightreflected back from the film being measured. The light intensity versus wavelength is digitizedand the film thickness may be calculated by the interference effects. Some advantages to opti-cal interference systems are the single value for film thickness produced and the small spotsize. A disadvantage with optical interference systems is that only films with a known index ofrefraction may be measured.

5.17.6. EllipsometerEllipsometers can provide both film thickness and index of refraction information. The

name ellipsometer is derived from the use of ellipically polarized light to measure film proper-ties. In an ellipsometer a laser beam is elliptically polarized and reflected from the specimen tobe measured. The reflected beam passes through an analyzer drum and onto a detector. Theanalyzer drum is rotated to produce a minimum value in the light intensity reaching the photo-detector. By reading the polarizer and analyzer settings the film thickness and index of refrac-tion may be read. If a single reflectance angle is used, multiple film thicknesses can produce anull and the user must start with some idea of the expected film thickness to select a singlevalue. If multiple angles are used a single value may be obtained. Multidomain tools combinemultiple angles and wavelengths and allow multiple film stacks to be characterized [105].

Figure 5.111: Ellipsometer [need ref].

Laser

Depolarizer

Beam attenuator Specimen Film

Polarizer drumAnalyzer drum

Filter

Compensator

Polarizer prism Analyzer prism

Linearlypolarized light

Linearlypolarized light

Extinctionmeter

Photo-detector

Elllipicallypolarized light

Page 108: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 105

5.17.7. ReflectometerReflectomers as the name implies measures reflected light. A light source with a controlled

output level is reflected off a substrate and the reflected light level is measured. reflectometersare useful for characterizing films that will be underlying photoresist layers and determiningthe need for anti reflective coatings.

Suggested further reading• James R. Sheats and Bruce W. Smith eds., “Microlithography: Science and Technology,”

Marcel Decker (1998) was an invaluable reference in writing this chapter and in the opin-ion of the author is the best Microlithography reference currently available.

• L. F. Thompson, C. G. Willson, and M. J. Bowden eds. “Introduction to Microlithogra-phy,” American Chemical Society (1983) and Wayne M. Moreau, “Semiconductor Lithog-raphy: Principles, Practices and Materials,” Plenum (1988) are also useful referencesalthough somewhat dated.

Reference[1] http://www.intel.com/pressroom/kits/processors/quickref.htm[2] “The International Technology Roadmap for Semiconductors, 1999 Edition,”.[3] Semiconductor Consulting Services database (2000).[4] J. Calvert and J. Pitts, “Photochemistry,” 367, Wiley (1966).[5] M. Hepher and H.M. Wagner, British Patent 762.985 (1954).[6] C. Grant Willson, Ralph A. Dammel, and Arnost Reiser, “Photoresist Materials: A His-

torical Perspective,” in “Advances in Resist Technology and Processing XIV,” Proceed-ing of SPIE, 3049, 28 (1997).

[7] W.S. Deforest, “Photoresist,” McGraw Hill (1975).[8] J.D. D'Ianni, F.J. Naples, J.W. Marsh, and J.L. Zarney, Ind. Eng. Chem., 38, 1171

(1946).[9] O. Suss, Liebigs Ann. Chem., 556, 65 (1944).[10] Jeffery C. Strieter, “The Chemical behavior of Positive Working Systems,” Eastman

Kodak.[11] http://www.crystran.co.uk/sio2data.htm.[12] http://www.crystran.co.uk/caf2data.htm.[13] MIT Lincoln labs.[14] http://www.crystran.co.uk/lifdata.htm.[15] CH7300EG Hexamethyldisilazane HMDS Electronic Grade, Petrarch Systems, Inc.[16] International SEMATECH.[17] L.F. Thompson and M.J. Bowden, “Lithographic Process the Physics,” in L.F. Thomp-

son, C.G. Willson, and M.J. Bowden eds. “Introduction to Microlithography,” ACS(1983).

[18] Bruce W. Smith, “Optics for Photolithography,” in James R. Sheats and Bruce W.Smith eds., “Microlithography,” Marcel Dekker (1998).

[19] M.J. Bowden, J. Elctrochem. Soc., 128, 195 (1981).

Page 109: Photolithography chapter by scotten w. jones

106 Copyright © 2000 IC Knowledge LLC, all rights

[20] A. Offner, “Wavelength and Coherence Effects on the Performance of Real Optical Pro-jection Systems,” Photogr. Sci. Eng., 23, 374 (1979).

[21] Alvin Stein, “The Chemistry and Technology of Negative Photoresist,” Hunt Chemical.[22] Grant Willson, “Organic Resist Materials - Theory and Chemistry,” in L.F. Thompson,

C.G. Willson, and M.J. Bowden eds. “Introduction to Microlithography,” ACS (1983).[23] H. Ito, “Chemical Amplification Resists: History and Development Within IBM,” IBM J.

R&D, Vol. 41, http://www.research.ibm.com/journal/rd/411/ito.html.[24] B.W. Smith, “Introduction to DUV Lithography,” presented in “Principles of Microli-

thography” Rochester Institute of Technology Microelectronic Engineering (1996).[25] Laura J. Peters, “Resists Join the Sub-� Revolution,” Semicon. International, 71, Sep

(1999).[26] R.R. Kunz, T.M. Bloomstein, D.E. Hardy, R.B. Goodman, D.K. Downs, and J.E. Curtin,

“Outlook for 157-nm Resist Design,” in “Microlithography 1999: Advances in ResistTechnology and Processing XVI,” Will Conley editor, SPIE, 13, 3678 (1999).

[27] R.D. Allen, G.M. Wallraff, D.C. Hofer, and R.R. Kunz, “Photoresist for 193-nm Lithog-raphy,” IBM J. R&D, Vol. 41, http://www.research.ibm.com/journal/rd/411/allen.html.

[28] K. Early, D.M. Tennant, D. Jeon, P.P. Mulgrew, A.A. MacDowell, O.R. Wood II, G.D.Kubiak, and D.A. Tichenor, “:Characterization of Ray-PN resist for soft-X-ray projec-tion lithography,” presented at “Soft-X-Ray Lithography Conference”, Monterey, CA,April 1992.

[29] K.P. Muller and H.S. Sachdev, “Defect studies on single and bilayer resist systems,” J.Vac. Sci. Technol. B10, 2560 (1992).

[30] S.W.J. Kuan, C.W. Frank, C.C. Fu, D.R. Allee, P. Maccagno, and R.F.W. Pease,“Ultrathin polymer films for microlithography,” J. Vac. Sci. Technol. B6, 2274 (1988).

[31] R.R. Kunz, “Silicon-containing bilayer resist for 193-nm lithography,” presented at the‘IEEE Lithography workshop,” Santa Fe, NM, October (1992).

[32] F.H. Dill., et.al., IEEE Trans. Electr. Dev., ED-22, 440 (1975).[33] Scotten W. Jones, “Process Technology for the 21st. Century,” Semiconductor Consult-

ing Services (1999).[34] David J. Elliot, “Integrated Circuit Fabrication Technology,” McGraw Hill (1982).[35] Peter C. Sukanek, “Physical and Chemical Modification of Photoresist,” Department of

Chemical Engineering, Clarkson University.[36] Peter C. Sukanek, “Dependence of Film Thickness on Speed in Spin Coating,” J. Elec-

trochem. Soc., 136, 1712 (1991).[37] D. Meyerhofer, “Characteristics of Resist Films Produced by Spinning,” J. Appl. Phys.,

49, 3993 (1978).[38] W. J. Daughton and F.L. Givens, “An Investigation of the Thickness Variation of Spun-

on Thin Films Commonly Associated with the Semiconductor Industry,” J. Electrochem.Soc., 129, 173 (1982).

[39] Wayne M. Moreau, “Semiconductor Lithography: Principles, Practices and Materials,”Plenum (1988).

Page 110: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 107

[40] W.J. Daughton and F.L. Givens, “An Investigation of the Thickness Variation of Spun-on Thin Films Commonly Associated with the Semiconductor Industry,” J. Electrochem.Soc., 129, 173 (1982).

[41] W.M. Chan, Kodak Seminar Proceedings, (1975).[42] L.F. Thompson and M.J. Bowden, “Resist Processing,” in L.F. Thompson, C.G. Will-

son, and M.J. Bowden eds. “Introduction to Microlithography,” ACS (1983).[43] M. Koshiba, M. Murata, M. Matsui, and Y. Harita, Proc. SPIE, 920, 364 (1988).[44] Jhy-Ping Hsu, Shi-Wei Huang, and Shiojenn Tseng, “Mathematical Simulation of Soft

Baking in Photoresist Processing,” J. Electrochem. Soc., 147, 1920 (2000).[45] C.A. Mack, D.P. DeWitt, B.K. Tsai, and G. Yetter, Proc. SPIE-Int. Soc. Opt. Eng., 2195,

584 (1994).[46] S.H. Lin, B.T. Liu, W.C. Chen, and J.P. Hsu, J. Electrochem. Soc., 145, 4256 (1998).[47] H. Fujita, A. Kashimoto, and K. Matsumoto, Trans. Faraday Soc., 56, 424 (1960).[48] Shinji Okazaki, “Lithography Prospects for 0.18-�m Technology and Beyond,” IEDM,

57 (1996).[49] http://www.personal.dundee.ac.uk/~gjberry/web/excimer.html.[50] http://www.duke.edu/~mgf1/.[51] http://www.columbia.edu/cu/mechanical/mrl/ntm/level2/ch02/html/l2c02s11.html.[52] Palash Das and Uday Sengupta, “Krypton Fluoride Excimer Laser for Advanced

Microlithography,” in James R. Sheats and Bruce W. Smith eds. “Microlithography,”Marcel Decker (1998).

[53] http://www.cymer.com.[54] http://www.lambdaphysik.com.[55] S.R.J. Brueck and Xiaolan Chen, “Spatial frequency analysis of optical lithography res-

olution enhancement techniques,” J. Vac. Sci. Technol. B, 17, 908 (1999).[56] Michael S. Hibbs, “System Overview of Optical Steppers and Scanners,” in James R.

Sheats and Bruce W. Smith eds., “Microlithography,” Marcel Dekker (1998).[57] http://www.asml.com[58] http://www.usa.canon.com/indtech/semicondeq/prod[59] http://www.nikon.jp/main/products[60] http://www.svg.com/product/litho[61] http://www.ultratec.com/semi/[62] Bruce W. Smith, “Resist Processing,” in James R. Sheats and Bruce W. Smith eds.,

“Microlithography,” Marcel Dekker (1998).[63] E.J. Walker, “Reduction of Photoresist Standing-Wave Effects by Post Exposure Bake,”

IEEE TED, 7, 464 (1975).[64] Randy Streif and Wei Wu, “High Temperature Post Exposure Bake and its Applica-

tions,” http://www.semiconbay.com.[65] W.H. Arnold and H.J. Levinson, “High Resolution Optical Lithography Using an Opti-

mized Single Layer Photoresist Process,” Kodak Microelectronics Seminar, Interface,80 (1983).

[66] M.A. Spak, “High Temperature Post Exposure Bake (HTPEB) for AZ 4000 Photore-sist,” SPIE vol. 539, “Advances in Resist Technology and Processing II,” 299 (1985).

Page 111: Photolithography chapter by scotten w. jones

108 Copyright © 2000 IC Knowledge LLC, all rights

[67] Alvin Hudson, Rex Nelson, “University Physics,” Harcourt Brace Jovanovich (1982).[68] Olivier Semprez, “Excimer lasers for future lithography light sources,” Solid State

Technol. 255, Jul (2000).[69] Bruce W. Smith, “Multilayer Resist Technology,” in James R. Sheats and Bruce W.

Smith eds., “Microlithography,” Marcel Dekker (1998).[70] Semiconductor International, July (1996)[71] K. Nakamura, “Lithography,” in C.Y Chang and S.M. Sze eds. “ULSI Technology,”

McGraw Hill (1996).[72] C.W. Gwyn, “Advances in Extreme Ultraviolet Lithography,” in “Lithography Chal-

lenges and Opportunities,” Semicon West (1999).[73] Jos P.H. Benschop, Anton J.J. van Dijsseldonk, Winfried M Kaiser and David C. Ock-

well, “EUCLIDES: European EUV lithography milestones,” Solid State Technol., 43,Sep. (1999).

[74] Andrew M. Hawryluk, Natale M. Ceglio and David A. Markle, “Fourth in a Series:EUV Lithography: First of Two Parts,” Solid State Technol., 151, Jul. (1997).

[75] Andrew M. Hawryluk, Natale M. Ceglio and David A. Markle, “Fourth in a Series:EUV Lithography: Second of Two Parts,” Solid State Technol., 75, Aug. (1997).

[76] “SVG plans first EUV tools for 2003, says project is on track,” Semicon. Bus. News,http://204.247.196.14/sbn/sbnh2/news/19990614a2.shtml.

[77] Jack Robertson, “X-ray litho now looks like a real contender: Solving mask problemputs it back in race for work under 0.18 micron,” Semicon. Bus. News, 1, Jul. (1997).

[78] Paul Castrucci, Worth Henley, and Wolfgang Liebmann, “Lithography at an InflectionPoint,” Solid State Technol., 127, Nov. (1997).

[79] “Litho experts like EUV, Scalpel best, but 157nm, proposed roadmap complicated,”Wafer News Confidential, 1, Dec. 21 (1998).

[80] J.A. Liddle, “Lithography for 130nm and Beyond,” Semiconductor Fabtech, Eighth Ed.[81] Lloyd Harriott, Warren Waskiewicz, Anthony Novembre, J. Alexander Liddle,

“Favored SCALPEL’s continued progress,” Solid State Technol., 73, July (1999).[82] Hans Loschner, Rainer Kaesmaier, Patrick de Jager, and Bas Mertens, “IPL: Ion Projec-

tion Lithography,” White paper for SEMATECH, executive summary, Nov. (1999).[83] Jeffery M. Parker, Kim R. Dean, and Daniel A. Miller, “Direct temperature metrology

helps minimize CA-resist CD variation,” Solid State Technol., 139, Sep. (2000).[84] K.G. Kemp, et.al., “Effects of DUV Resist Sensitivities on Lithographic Process Win-

dow,” SPIE Proceedings 1295, 955 (1997).[85] CD measurements were made at SEMATECH from cross sectioned 250nm-wide dense

features. PEB temperatures were varied � 5oC about the nominal bake temperature.IBM version 1B resist was exposed at 193nm and 180nm-wide dense features were mea-sured.

[86] P. Seidel, J. Canning, S. Mackay, and W. Trybula, “Next Generation Advanced Lithog-raphy,” Semiconductor Fabtech, Seventh ed.

[87] William Hinsberg, John Hoffnagle, and Frances Houle, “Chemistry and Physics of thePEB process in a CA resist,” Solid State Technol., 95, Aug. (2000).

[88] U.S. Patent 3,402,044 (1968), Shipley.

Page 112: Photolithography chapter by scotten w. jones

Copyright © 2000 IC Knowledge LLC, all rights reserved 109

[89] U.S. Patent 3,649,283 (1972), Shipley.[90] D. Meyerhofer, IEEE Trans. Electron. Dev., 912, 27 (1980).[91] J. Peterson and M. Stan, Microelectron. Manufact. Test, 23, Jan. (1986).[92] M. Watts and R. Hannifan, SPIE Opt. Eng., 539, 21 (1985).[93] M. Watts, J. Vac. Sci. Technol., 434, B3 (1985).[94] U.S Patent 3,868,254 (1975), GAF.[95] European Patent Appl. 129,106 (1985), Allied; Chem. Abstr. 102, 22949 (1985).[96] J.M. Shaw and M Hatzakis, “Developer Temperature Effects on E-Beam and Optically

Exposed Positive Photoresist,” J. Electrochem. Soc. 2026, 126 (1979). [97] V. Marriott, Proc. SPIE, 394, 144 (1983).[98] W.M. Moreau, A.D. Wilson, K.G. Chiong, K. Petrillo, and F. Hohn, J. Vac. Sci. technol.

2238, B, 6 (1988).[99] SEMI technical program, Semicon Europa (1996).[100] K. Jinno, Y. Matsumoto, and T. Shinozaki, Photogr. Sci. Eng., 290, 21 (1977).[101] T. Itani, K. Itoh, and K. Kasama, Proc. SPIE, 1925, 388 (1993).[102] J. Bieron and R. Conley, J. Appl. Polym. Sci.,1, 171 (1963).[103] Werner Hunn, “UV Microscope Resolves Smaller Features,” Semiconductor Interna-

tional, 277, Jul. (1998).[104] Ping Wang, Fourman Lee, K. Michael Chan, Ray Goodner and Ron Ceton, ibid, June

(1996).[105] Mitch Haller, Janine Sullivan, and George Collins, “Multidomain Ellipsometry for Thin

Film Process Control,” 269, Jul. (1998).