Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV...

36
Multilayer optics for next-generation EUVL systems Regina Soufli [email protected] Lawrence Livermore National Laboratory July 16, 2009 This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344. 2009 International Workshop on EUV Lithography, Honolulu, Oahu

Transcript of Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV...

Page 1: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Multilayer optics for next-generation EUVL systems

Regina [email protected]

Lawrence Livermore National Laboratory

July 16, 2009

This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.

2009 International Workshop on EUV Lithography, Honolulu, Oahu

Page 2: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Eberhard Spiller

Russell Hudyma

Eric Gullikson (LBNL)

John S. Taylor

Gary Sommargren

Michael A. Johnson

Jim Folta

Don Sweeney

Chris Walton

Andy Aquila (LBNL)

Franklin Dollar (LBNL)

Jeff C. Robinson

Sherry Baker

Jay Ayers

Shannon Ayers

Susan Ratti

Mark Schmidt

Fred Grabner

Rick Levesque

Key Contributors

Page 3: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Presentation Outline

• General principles of EUVL optical substrates, multilayer coatings, roughness and scattering, precision surface metrology

• Example #1: 0.30-NA Micro-Exposure Tool (MET) (two-mirror micro-field system)

• Example #2: 0.1-NA Engineering Test Stand (ETS) (four-mirror scanning system)

• Example #3: EUVL collector substrates, polyimide smoothing• EUV multilayer optics for solar physics• Diffraction limited x-ray mirrors for free-electron lasers

Page 4: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

EUVL requires all-reflective optical systems

ETS POB1 - 20000.1 NA

Full-field scanner

SES POB2 - 20010.1 NA

Static fieldMET

2002-today0.3 NA

µstepper

10x1 - 199610x2 - 1999

0.1 NA“Microstepper”

ETSCondenser

Ripple-PlateCondenser WaferM1

M2M3

M4

M5

M6Mask

High NAProjection System

EUV AIMs ToolProposed 2001

Page 5: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

In the EUV/x-ray range, the reflective performance of materials is governed by the effect of total external reflection

EUV/x-ray region coincides with core electron binding energies →strong absorption. Refractive index n is expressed as a complex number: n = 1- δ + iβ, where δ, β << 1

For grazing incidence angles θ ≤ θc ≈√2δ, total external reflection occurs (similar to the “total internal reflection” effect at visible wavelengths, per Snell’s law)

θc ~ λ√Z

0.0001

0.001

0.01

0.1

1

0 30 60 90θ (deg)

Ref

lect

ance

SiO2, ideal case

hν = 91.8 eV (λ = 13.5 nm)

D. T. Attwood, Soft X-rays and Extreme Ultraviolet Radiation, Principles and Applications, Cambridge University Press (1999).

E. Spiller, Soft X-ray Optics, SPIE Press, Bellingham, WA (1994).

Page 6: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Multilayer interference coatings

θδθλ 20 sin

21sin2 −= dm

λ0

(2)(1) ( ) ( ) ( )λβλδλ 111 1 in +−=

( ) ( ) ( )λβλδλ 222 1 in +−=

d

°→= 90,1 θm

d20 ≈λ

θ

Bragg equation for multilayers:

(near-normal incidence)

For N bilayers: Electric field amplitude is increased by ~ N, intensity is increased by N2

Page 7: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Multilayer materials selection is driven by optical properties

0.0001

0.001

0.01

0.1

1

100 200 500 1000

hν = 91.8 eV Molybdenum (Mo)

β

δ

Photon Energy (eV)

-0.025

0

0.025

0.050

100 200 500 1000

hν = 91.8 eV Silicon (Si)

β

δ

Photon Energy (eV)

Multilayer materials need to:

have good optical contrast in the desired energy region of operation

be chemically compatible with each other

form stable interfaces

Page 8: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Multilayer microstructure and stress properties depend on deposition method, Γ-ratio and layer thicknesses

R. Soufli, D. L. Windt, J. C. Robinson, et al, “Development and testing of EUV multilayer coatings for the atmospheric imaging assembly instrument aboard the Solar Dynamics Observatory”, Proc. SPIE 5901, 59010M (2005).

-900

-800

-700

-600

-500

-400

0.1 0.2 0.3 0.4 0.5

.. st

ress

=0 d

irec

tion.

.

Mo/Si multilayers

131 Å171 Å193.5 Å211 Å

Γ ratio of Mo

Mea

sure

d St

ress

(MPa

)DC-magnetron sputtered Mo/Si multilayer with Γ=0.16 at 19.35 nm

Γ = dMo / dtotdMo

Page 9: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

0

0.2

0.4

0.6

160 170 180

Γ=0.175 Γ=0.165Γ=0.155

Γ=0.23

θ = 85 degMo/Si, N=40

Wavelength (Å)

Ref

lect

ance

0

0.25

0.50

0.75

125 130 135 140

Γ = 0.40Γ =0.45

Γ=0.32Γ = 0.36

θ = 85 degMo/Si, N=50

Wavelength (Å)

Ref

lect

ance

0

0.1

0.2

0.3

0.4

0.5

180 185 190 195 200 205

Γ = 0.19Γ = 0.17Γ = 0.16Γ = 0.135

θ = 85 degMo/Si, N=40

Wavelength (Å)

Ref

lect

ance

Γ-ratio also affects multilayer reflectivity, bandwidth and out-of-band suppression

Page 10: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Highly reflective and precise multilayer optics enable normal-incidence imaging at EUV wavelengths

DC-magnetron sputtered Mo/Si projection optics for a 0.1-NA, 24 mm x1.5 mm ring field EUV step-and-scan system operating at 13. 35 nm (EUVL program)

Performance requirements for

multilayer-coated EUVL optics

• Wavefront control

• Spectral matching

• High + uniform reflectance

• Lifetime stability

M1

M2

M3R. Soufli et al, SPIE Vol. 4343, p.51-59 (2001)

Page 11: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

C1

C2

C4

ProjectionOptics

C3

CondenserOptics

M1

M2

Mask

M4

M3

PlasmaSource

Wafer

The Engineering Test Stand (ETS) employs a 0.1-NA ring-field imaging system for a 24-mm field of view

AssembledCamera

D. A. Tichenor et al., “System integration and performance of the EUV Engineering Test Stand,” Proc. SPIE 4343, 19–37 (2001).

Page 12: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Four ETS Set 2 camera substrates on their mounting fixtures

M3

M2M1

M4

Figure = 0.25 nm rms Figure = 0.35 nm rms

Figure = 0.22 nm rms Figure = 0.25 nm rms

ETS substrates made by Tinsley

Page 13: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Mask

Primary

Secondary

Wafer

Source Condenser (2 & 3)

Condenser 1

Micro-Exposure Tool (MET) System Characteristics

• 5x reduction• NA 0.30 (20 nm resolution)• 600 μm x 200 μm field• Residual WFE 0.42 nm rms• Aspheric departure

• M1: 3.8 μm• M2: 5.6 μm

• Obscuration: 10%

The Micro-Exposure Tool (MET ) provides early learning with a high-NA imaging system

Carl Zeiss manufactured the MET camera substrates

Page 14: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

EUVL substrates need to satisfy stringent figure and finish specifications

SET 1 SET 2Figure MSFR HSFR Figure MSFR HSFR

MET 1 (primary) 0.43 0.23 0.49 0.18 0.28 0.370.43 0.33 0.54 0.22 0.25 0.38

MET 2 (secondary) 0.25 0.34 0.38 0.22 0.30 0.32— 0.46 0.38 — 0.28 0.37

Specification 0.33 0.30 0.50 0.25 0.20 0.40

— measured by Carl Zeiss— measured by LLNLAll numbers given in nm rms

U. Dinger, G. Seitz, S. Schulte, et al., “Fabrication and metrology of diffraction-limited soft x-ray optics for the EUV microlithography,” Proc. SPIE 5193, 18–28 (2004).

R. Soufli, R. M. Hudyma, E. Spiller, et al., “Sub-diffraction-limited multilayer coatings for the 0.3 numerical aperture micro-exposure tool for extreme ultraviolet lithography,” Appl. Opt. 46, 3736–3746 (2007).

Figure errors lead to imaging aberrations –loss of resolution

MSFR leads to flare, loss of contrast

HSFR leads to loss of reflectance (throughput)

Page 15: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

10-2

102

106

1010

1014

10-8 10-6 10-4 10-2

Spatial frequency f (nm-1)PS

D (n

m4 )

Si test substrate by Vendor 2Si test substrate by Vendor 1

0.37 mm2.95 mmZygo 2x Zygo 20x

10 μmAFM

2 μm

σ = 0.16 nm rmsσ = 0.36 nm rms

σ = 0.19 nm rmsσ = 0.19 nm rms

~ 0.16 μrad rms

~ 0.3 μrad rms

Full-

aper

ture

inte

rfer

omet

ry

AFM

EUVL optics require state-of-the-art surface metrology for the figure, mid- and high spatial frequency ranges

Page 16: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Zeiss measurement (MET M1)flipped and rotated to register

0.22 nm rms when clipped and binned

LLNL measurement (MET M1) by Phase Shifting Diffraction Interferometry (PSDI)

magnification adjusted fractionally by 1.7x10-5

0.29 nm rms when clipped and binned

-1 nm +1 nm

Visible-light, phase-shifting diffraction interferometry was used at LLNL to measure EUVL camera optics

G. E. Sommargren, D. W. Phillion, M. A. Johnson, N. Q. Nguyen, A. Barty, F. J. Snell, D. R. Dillon, L. S. Bradsher, “100-picometer interferometry for EUVL”, Proc. SPIE 4688 316-328 (2002).

Sommargren, G.E., “Phase shifting diffraction interferometry for measuring extreme ultraviolet optics,” OSA Trends in Optics and Photonics Vol. 4, Extreme Ultraviolet Lithography, Kubiak and Kania, eds. (Optical Society of America, Washington, DC 1996), pp. 108-112.

Page 17: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

DC-magnetron sputtering is a proven deposition technique for the multilayer-coating of EUVL camera and collector optics

R=660 mm

R=1016 mm

Mo 559 X 127 mm2Si

M1

M3 M2

M4

Underneath view of LLNL chamber lid with 5 sputtering targets

70.0% N=60

Γ=0.34

68.9% N=60

Γ=0.34

67.5%N=40 Γ=0.4

Page 18: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

LLNL cleaning facility for optical substrates

Custom-developed process includes: rinsing in a water-based solution, followed by drying in N2 environment using semiconductor-grade system (YieldUp™, pictured). Located next to multilayer deposition system.

LLNL AFM images on a Zerodur AIA flight substrate: (i), (ii) as-received and (iii), (iv) after cleaning.

R. Soufli, S. L. Baker, et. al, Appl. Opt. 46, 3156-3163 (2007).

Page 19: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

The reflectometry and scattering beamline 6.3.2 at the ALS synchrotron (LBNL) is used for at-wavelength characterization of EUV/x-ray optics

LG156

Beamline Specifications• Wavelength precision: 0.007%• Wavelength uncertainty: 0.013%• Reflectance precision: 0.08%• Reflectance uncertainty: 0.08%• Spectral purity: 99.98%• Dynamic range: 1010

12.5 13.0 13.5 14.00

10

20

30

40

50

60

70

12.90 12.95 13.0067.5

68.0

68.5

69.0

Ref

lect

ance

(%)

Wavelength (nm)

PTB CXRO

Cross-calibration results are shown between beamline 6.3.2 (CXRO) and PTB, BESSY synchrotron (Berlin, Germany)

Beamline scientist = Eric M. Gullikson

1-50 nm wavelength range

For large optic stage:• 40 μm (v) × 300 μm (h) beam size• 100 μm positioning precision (x,y,z)• 0.05 deg θ-precision• 0.5 deg ϕ-precision• Photodiode acceptance = 2.4 deg

2 filter wheels 4 gratings

Page 20: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

1. Simulate deposition process

2. Select optimum (v2/v1, θ1), to achieve desired thickness profile

3. Measure results on test optic and iterate

Chamber center

Target

Optic

θ = 0o

v2

v1

v2

θ = θ1θ = - θ1

vspin

10x Schwarzchild primary

Secondary

Achieved using velocity modulation. Until recently, such steep thickness gradients were believed to be possible only by positioning hardware masks over the optical substrate

Velocity modulation is a rapidly converging method used for ultra-precise multilayer film thickness control

Page 21: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

DC-magnetron sputtered coatings using velocity modulation demonstrate excellent process stability

Multilayer thickness profiles deposited over a period of 11 months using identical coating recipes

SET 1, 05/01/01SET 2, 03/20/02

MET primary MET secondary

SET 1, 05/01/01SET 2, 03/20/02

Page 22: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Coating profile for EUVL camera optics is optimized for lowest added figure error, rather than peak-to-valley thickness variation

Normalized film thickness as determined by EUV reflectance measurements

Non-compensablemultilayer-added figure error

Added figure error = 0.037 nm rms

RMS of the figure error, weighting the data by illuminated area

subtract best-fit spherical term, compensable during alignment

λ0

Page 23: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

We have developed projection optics with sub-diffraction-limited performance during the EUVL program

39-nm, 3:1 elbows (Patrick Naulleau, LBNL)

Added figure error = 0.032 nm rms

M1 mirror, PO Box 2 SES at ALS

M2 mirror, MET Set 1MET camera

Added figure error = 0.044 nm rms

Measured wavefront = 0.55 nm rmsK. A. Goldberg et al, J. Vac. Sci. Technol. B 22(6), 2956-2961 (2005)

Printed 20 nm equal-line, and 21 nm isolated-line features P. P. Naulleau et al

R. Soufli et al., Proc. SPIE 4343, 51-59 (2001)

R. Soufli et al., Appl. Opt. 46, 3736-3746 (2007)

Page 24: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Substrate roughness “propagates” through the multilayer stack and causes flare and loss in mirror reflectance due to scattering in non-specular directions

D.G. Stearns, D. P. Gaines, D. W. Sweeney and E. M. Gullikson, “Non-specular x-ray scattering in a multilayer-coated imaging system”, J. App. Phys. 84, 1003-1028 (1998).

Page 25: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

LLNL precision surface metrology lab

• Digital Instruments Dimension 5000™ Atomic Force Microscope (AFM) includes acoustic hood and vibration isolation. Noise level = 0.03 nm rms

• Zygo NewView™ Optical Profiling Microscope

• LEO 1560 ™ Scanning Electron Microscope (SEM)

Zygo AFM

SEM

Page 26: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

1 101E-5

1E-4

1E-3

0.01

0.1

1

10

10010 100

MET M2

1/P 0d

P/d

Ω

Scattering Angle (deg)

Measured Calculated

Displacement in image plane (mm)

1E-7 1E-6 1E-5 1E-4 1E-3 0.01 0.110-2

100

102

104

106

108

1010

1012MET M2-2a

PS

D (n

m4 )

Frequency (1/nm)

Zeiss LLNL

MET 1 (primary) MET 2 (secondary)

Substrate HSFR 0.37 nm rms 0.32 nm rms

Expected loss ΔR 6.1% 5.2%

Measured R 61.2% 62.4%

R + ΔR 67.3% 67.6%

Detailed models have been developed and validated experimentally for the scattering from multilayer-coated EUV optics

D. G. Stearns, “Stochastic model for thin film growth and erosion,” Appl. Phys. Lett. 62, 1745-7 (1993). E. M. Gullikson, “Scattering from normal incidence EUV optics”, Proc. SPIE 3331, 72-80 (1998). D.G. Stearns et al, “Non-specular x-ray scattering in a multilayer-coated imaging system”, J. App. Phys. 84, 1003-1028 (1998).

LLNL / Zeiss metrology validation

LLNL metrology / scattering model / ALS scattering measurements validation

R. Soufli et al., Appl. Opt. 46, 3736-3746 (2007)

Page 27: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

133.75 Å

133.37 Å

contour sep.= 0.1 Å

65.8 %

63.3 %

contour sep.= 0.3 %

contour sep.= 0.1 Å

contour sep.= 0.3 %

2D EUV reflectance maps serve as an additional method for verifying substrate finish uniformity

2D contour maps of ETS optic M2 obtained at ALS beamline 6.3.2

HSFR non-uniformity leads to EUV reflectance variations on the multilayer-coated optic

Page 28: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

We have developed EUV multilayer optics and precision metrologies for next-generation EUV solar physics and space weather satellites

Multilayer-coated flight mirrors for NASA’s Solar Dynamics Observatory (SDO). Launch date: November 2009

R. Soufli, et al, Appl. Opt. 46, 3156-3163 (2007).

R. Soufli, et al, Proc. SPIE 5901, 59010M (2005).

Multilayer-coated test mirrors for NASA/NOAA’s GOES-R space weather satellite. 6 EUV wavelengths , 9.4 nm to 30.4 nm. Launch date: 2014

7 EUV wavelengths (9.4 nm to 33.5 nm)

Page 29: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

10-2

100

102

104

0.0001 0.001 0.01 0.1

AIA-1001-002 (Tinsley)

Spatial frequency (nm-1)

PSD

(nm

4 )

0.1

10

1000

100000

0.0001 0.001 0.01 0.1

Primary 04R0416 (Sagem)

Spatial frequency (nm-1)

PSD

(nm

4 )

LLNL AFM measurements on NASA flight substrates reveal surface morphology related to specific polishing techniques

dffSff

f

)(22

1

2 πσ ∫= where S(f) ≡ PSD (nm4), f1= 10 -3 nm-1 , f2 = 5×10-2 nm-1

2×2 μm2, locs. A, B, C, D

10×10 μm2, locs. A, B, C, D

2×2 μm2, locs. A, B, C, D, E

10×10 μm2, locs. A, B, C, D, E

10×10 μm2, loc. B 2×2 μm2, loc. B σ = 0.14 nm rms 10×10 μm2, loc. E 2×2 μm2, loc. E

σ = 0.51 nm rms

Vendor 1, Zerodur™ substrate Vendor 2, Zerodur™ substrate Atmospheric Imaging Assembly (AIA) instrument, Solar Dynamics Observatory (SDO)

R. Soufli, S. L. Baker, D. L. Windt, E. M. Gullikson, J. C. Robinson, W. A. Podgorski, L. Golub, Appl. Opt. 46, 3156-3163 (2007)

Page 30: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

0

10

20

30

40

50

60

70

120 125 130 135 140

Mo/Si, Γ = 0.36N=50

θmeas= 87 deg

M4-051116

A4 (witness, Si wafer substrate)

A2 (secondary, Sagem 0420 substrate)

A3 (primary, Sagem 416 substrate)

A1 (witness, Si wafer substrate)

Wavelength (Å)

Ref

lect

ance

(%)

Flight mirror Primary (A3) Secondary (A2)

Substrate roughness (Å

rms)5.0 5.2

Rpeak (%) 56.2 58.8∆R*

(%, absolute) 8.5 8.4

Rpeak +∆R 64.7 67.2

*∆R = predicted reflectance loss due to high-spatial frequency roughness, based on AFM measurements of the substrate and on a multilayer growth model. Calculation performed by E. M. Gullikson, LBNL.

Measured EUV reflectance of multilayer-coated NASA mirrors is consistent with substrate roughness measured by AFM at LLNL

A1 (Si wafer

substrate)

A2 (secondary)

A3 (primary)

A4 (Si wafer substrate)

Page 31: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

EUVL collector optics require special substrate materials and polishing techniques

1.08 nm

-1.90 nm -3.38 nm

2.77 nm

(i) (ii) 2 μm 10 μm

(iii) (iv) 2 μm 10 μm

3.06 nm

-4.51 nm -3.47 nm

2.74 nm

HSFR0.05 μm < Λ < 2 μm

Process 1 0.30 nm rmsProcess 2 0.84 nm rms

SiC, Process 1

SiC, Process 2

D. S. Martínez-Galarce, P. Boerner, R. Soufli, B. De Pontieu, N. Katz, A. Title, E. M. Gullikson, J. C. Robinson, S. L. Baker, “The high-resolution lightweight telescope for the EUV (HiLiTE)”, Proc. SPIE 7011 , 70113K (2008).

Page 32: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

EUVL collector optics have more relaxed figure specs compared to camera optics and could be fabricated using low-cost techniques

• Aspherical mirrors made by conventional figuring / finishing are very expensive

• Diamond-turned (metal) or ground (ceramic) mirrors are much cheaper and meet EUVL collector figure specs but have insufficient high-spatial frequency roughness (HSFR)

Proposed solution:

• Fabricate diamond-turned metal (e.g. Al) or ground ceramic (e.g. SiC) mirrors

• Reduce HSFR with smoothing film

• Follow with appropriate coating (single-layer or multilayer) for EUV reflectance

J. A. Folta, C. Montcalm, J. S. Taylor, E. A. Spiller, “Low-cost method for producing extreme ultraviolet lithography optics”, U.S. Patent No. 6,634,760.

Page 33: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

10-2

103

108

1013

1018

10-8 10-6 10-4 10-2 100

diamond-turned Alpolyimide on Al, ML-coated

Frequency (nm-1)

PSD

(nm

4 )

Polyimide-smoothing of diamond-turned EUVL collector substrates dramatically improves HSFR while maintaining figure

Slope error = 100 μrad rms

σ = 2.7 Ǻ rms

σ = 17.6 Ǻ rms

AFM

Diamond-turned Aluminum surface, after polyimide and Mo/Si multilayer coating

Polyimide smoothes high spatial frequency roughness, including 10 μm-range diamond turning marks

180 μm

140

μm

Measurements obtained with a Zygo New ViewTM optical profiling microscope operated at 40× objective lens magnification

Diamond-turned Aluminum surface, as-received from manufacturer

100 nm

-200 nm

0 nm

Visible light interferometry results from multilayer-coated, diamond-turned condenser mirror

Height map Slope map

R. Soufli, E. Spiller, M. A. Schmidt, J. C. Robinson, S. L. Baker, S. Ratti, M. A. Johnson, E. M. Gullikson, Opt. Eng. 43(12), 3089-3095 (2004).

Acknowledgement: TOPO software by D. L. Windt

Page 34: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

R. Soufli, at al, Proc. SPIE 7077, 707716 (2008). A. Barty et al, Optics Express (2009).

10-6

10-4

10-2

100

0 20 40 60 80

IMD calc., dB4C= 50.5 ± 0.2 nm

λ = 13.5 nm (hν = 92.8 eV)

r = 90 mmr = 50 mmr = 30 mm

θ (deg)

Ref

lect

ance

We have developed diffraction-limited, damage-resistant x-ray mirror coatings for the LCLS free-electron laser

50.5 nm-thick B4C on Si substrate. Coating variation = 0.14 nm rms (spec = 1 nm rms) across 175 mm CA

50 nm-thick SiC on Si substrate. Coating variation = 0.34 nm rms (spec = 1 nm rms) across 385 mm CA

450 mm

Page 35: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

100

101

102

103

104

105

10-4 10-3 10-2 10-1

1 mTorr, HSFR = 0.15 nm rms10 mTorr, HSFR = 0.47 nm rms

B4C films

Spatial frequency (nm-1)

PSD

(nm

4 )

500×500 nm2 detail from 2x2 μm2 AFM scan

where S(f) ≡ PSD (nm4), f1= 5×10-4nm-1

f2 = 5×10-2 nm-1( )∫= 2

1

22 f

f dfff Sπσ

500×500 nm2 detail from 2x2 μm2 AFM scan

Stress = -1.1 GPa

Stress = -2.3 GPa

B4C thin film deposition parameters were especially modified to reduce coating stress

50 nm-thick B4C films

Page 36: Multilayer optics for next-generation EUVL systems Workshop/Oral 10 OP-1...2009/07/16  · EUV multilayer optics for solar physics • Diffraction limited x-ray mirrors for free-electron

Regina Soufli, 07/15/09

Acknowledgements

• The EUVL results in this presentation have been obtained through collaboration between researchers at Lawrence Livermore, Lawrence Berkeley and Sandia National Laboratories. Funding was provided by the EUV LLC (through a Cooperative Research and Development Agreement) and by Sematech

• Funding for the AIA / SDO EUV multilayer optics was provided by the Smithsonian Astrophysical Observatory

• Funding for the SUVI / GOES-R EUV multilayer optics was provided by Lockheed Martin Corporation

• LCLS work was funded by DOE Contract DE-AC02-76SF00515. This work was performed in support of the LCLS project at SLAC.

• This work performed under the auspices of the U.S. Department of Energy by Lawrence Livermore National Laboratory under Contract DE-AC52-07NA27344.