Magma reference flow for Globalfoundries 28nm
-
Upload
marketing-eda -
Category
Technology
-
view
1.211 -
download
2
description
Transcript of Magma reference flow for Globalfoundries 28nm
Magma/Global Foundries 28n Ref Flow
Rod Metcalfe – June 2011
2
28n Reference Flow - Development
Joint partnership between Magma and Global Foundries Production flow specification Captured using Talus Flow
Manager Validated by Global Foundries
using tapeout sign off criteria
Enables fast adoption of Global Foundries 28n process using Magma tools
Placement &Optimization
CTS
Routing
Extraction
Talus
Talu
s F
low
Ma
nag
erRouting
Rules
GF28SLP
RC Parasitics
DRC Runsets
TimingSignoffSettings
ExtractionSignoffSettings
Timing AnalysisConcurrent MMMC
LeakageMulti-VT
GDSII
3
28n Reference Flow - Example
Reference flow developed and tested using a simple netlist to GDS example
Complete scripts and flow provided to run example
OR1200 30K std cells 8 macros
ARM Artisan® Physical IP for CP 28LP Process
• Rich foundry-sponsored Foundation IP• End-user licensable Enhanced IP• Multi Channel logic products for 9 and 12 track• Market-leading memory compilers:
• Flexible Power Gating• Write Assist for Low Voltage Operation• High performance or low leakage periphery
Comprehensive 28LP Physical IP platform
• Targets >1 GHz Cortex-A9 (worst case)
Processor Optimization Pack for Cortex-A9
• IP available on DesignStart• Test Chip tape out Q4 2010
Product Availability and Silicon Validation
•2 Libraries, Multi-Vt/Channel
•Power Mgmt, ECO
Logic
•11 Memory Compilers
Memory
•GPIOInterface
•Cortex-A9 Optimizations
ProcessorOptimization
NEW
NEW
Talus – Most Efficient RTL-to-GDSII System
Best Quality of Results on Advanced designs +3M instances flat +1GHz Cores 28-nm silicon proven
Advanced MX Technologies Fastest Timer Fastest Extractor Optimum Routing Flow
Advanced Low Power Technologies UPF/CPF Support Advanced DVFS
Predictable Design Closure with Fastest TAT Sign-off Capable Zero-ECO flow
5
6
Talus Flow Manager – Out-Of-The-Box Productivity
Magma’s reference methodology for RTL-to-GDSII simple and scalable framework for
building execution recipes
Included with each Magma release Supported by Magma R&D
Increase Engineering Productivity
Simplify Deployment
Infrastructure
RTL MMMCMVDD
SMMCNetlist
Volcano
SVDD
Flow Stage Templates
Flow Manager
RTL or Netlist to GDSII
Talus Visual Volcano
Ref.Flows
Flow Templates
TalusRun.tcl
TFM : Reporting Examples
TFM : Reporting Examples
TFM : Detail Report Examples
10
28n Reference Flow – Guidance for implementation
Reference flow provides guidance for all major parts of the flow How to configure library correctly
When to add filler & decap cells
Router setup to support 28n rules
Multi-corner extraction support
Multi-mode timing constraints
Multi-VT library setup and optimization
Correct GDS layer numbers for verification
Placement &Optimization
CTS
Routing
Extraction
Talus
Talu
s F
low
Ma
nag
er
Timing AnalysisConcurrent MMMC
LeakageMulti-VT
GDSII
LibraryPreparation
11
GLOBALFOUNDRIES-Magma 28nm Sign-Off Reference Flow
Full Netlist-to-GDS Talus flow Automated for GF28SLP signoff using Talus Flow Manager Qualified for GF 28SLP routing rules and metal fill
Leverages state-of-the-art ARM Artisan® logic and embedded memory IP
Example netlist to GDSMMMC Implementationfor OR1200
OR1200 CPU Core
IC T
AG
0
IC RAM0 DC RAM
ITLB
TR
R
AM
DC
TA
G0
DT
LB T
R
RA
M
ITLB
MR
R
AM
DT
LB R
AM
Placement &Optimization
CTS
Routing
Extraction
TalusTa
lus
Flo
w M
an
ager
Routing Rules
GF28SLP
RC Parasitics
DRC Runsets
TimingSignoffSettings
ExtractionSignoffSettings
Timing AnalysisConcurrent MMMC
LeakageMulti-VT
GDSII
Confidential - Do Not Duplicate12