Literaturverzeichnis - link.springer.com978-3-662-10240-4/1.pdf · Literaturverzeichnis 1. Advanced...

16
Literaturverzeichnis 1. Advanced Micro Devises (1987) Am 29000 -streamlined instruction processor- user's manual 2. Bahring H. (1994) Mikrorechner-Systeme. Mikroprozessoren, Speicher, Peripherie, 2. AutIage, Springer-Verlag 3. Berndt H. (1982) Zwischen Software und Hardware: Mikroprogrammie- rung, Informatik-Spektrum 5, S. 11-20, Springer-Verlag 4. Bode A., Handler W. (1980) Rechnerarchitektur Bd.1, Springer-Verlag 5. Bode A., Handler W. (1983) Rechnerarchitektur Bd.2, Springer-Verlag 6. Bode A. (Hrsg.) (1988) RISC-Architekturen, Reihe Informatik, BI Wis- senschaftsverlag 7. Claus V., Schwill A. (1988) DUDEN Informatik, DUDEN-Verlag 8. Conrads D. (1987) Bussysteme - Parallele und serielle Bussysteme, lokale Netze, Oldenburg-Verlag 9. Eberle H. (1997) Architektur moderner RISC-Prozessoren, Informatik- Spektrum, 20:259-267, Springer-Verlag 10. Flynn M. J. (1972) Some Computer Organizations and Their Effectiven- ess, IEEE Transactions on Computers, Vol. C-21, pp. 948--960 11. Fox Eric R., Kiefer Kenneth j., Vangen Robert F., and Whalen Shaun P. (1986) Reduced Instruction Set Architecture for a GaAs Microprocessor System, IEEE Computer 12. Garner, R. B. (1988) SPARC, Scalable Processor Architecture, SUN Technology 13. Giloi W., Liebig H. (1980) Logischer Entwurf digitaler Systeme, 2. Auf- lage, Springer-Verlag 14. Giloi W. (1981) Rechnerarchitektur, Springer-Verlag 15. Glasmacher P. (1987) FORTH in Silizium, c't Heft 4, S.36-39 16. Gross T., Hennessy J. (1982) Optimizing delayed branches, Proc. Micro 15, IEEE 17. Hayes J.P. (1988) Computer Architecture and Organisation, McGraw- Hill, 2. AutI. 18. Hennessy J., et. al. (1982) Hardware/Software tradeoffs for increased performance, Computer-Architecture News, 10, 2

Transcript of Literaturverzeichnis - link.springer.com978-3-662-10240-4/1.pdf · Literaturverzeichnis 1. Advanced...

Literaturverzeichnis

1. Advanced Micro Devises (1987) Am 29000 -streamlined instruction processor- user's manual

2. Bahring H. (1994) Mikrorechner-Systeme. Mikroprozessoren, Speicher, Peripherie, 2. AutIage, Springer-Verlag

3. Berndt H. (1982) Zwischen Software und Hardware: Mikroprogrammie-rung, Informatik-Spektrum 5, S. 11-20, Springer-Verlag

4. Bode A., Handler W. (1980) Rechnerarchitektur Bd.1, Springer-Verlag

5. Bode A., Handler W. (1983) Rechnerarchitektur Bd.2, Springer-Verlag

6. Bode A. (Hrsg.) (1988) RISC-Architekturen, Reihe Informatik, BI Wis­senschaftsverlag

7. Claus V., Schwill A. (1988) DUDEN Informatik, DUDEN-Verlag

8. Conrads D. (1987) Bussysteme - Parallele und serielle Bussysteme, lokale Netze, Oldenburg-Verlag

9. Eberle H. (1997) Architektur moderner RISC-Prozessoren, Informatik­Spektrum, 20:259-267, Springer-Verlag

10. Flynn M. J. (1972) Some Computer Organizations and Their Effectiven­ess, IEEE Transactions on Computers, Vol. C-21, pp. 948--960

11. Fox Eric R., Kiefer Kenneth j., Vangen Robert F., and Whalen Shaun P. (1986) Reduced Instruction Set Architecture for a GaAs Microprocessor System, IEEE Computer

12. Garner, R. B. (1988) SPARC, Scalable Processor Architecture, SUN Technology

13. Giloi W., Liebig H. (1980) Logischer Entwurf digitaler Systeme, 2. Auf-lage, Springer-Verlag

14. Giloi W. (1981) Rechnerarchitektur, Springer-Verlag

15. Glasmacher P. (1987) FORTH in Silizium, c't Heft 4, S.36-39

16. Gross T., Hennessy J. (1982) Optimizing delayed branches, Proc. Micro 15, IEEE

17. Hayes J.P. (1988) Computer Architecture and Organisation, McGraw­Hill, 2. AutI.

18. Hennessy J., et. al. (1982) Hardware/Software tradeoffs for increased performance, Computer-Architecture News, 10, 2

326 Literaturverzeichnis

19. Hennessy John L., Patterson David A. (1996) Computer Architecture - A Quantitative Approach, Second Edition, Morgan Kaufmann, San Fransisco

20. Hennessy John L., Patterson David A. (1998) Computer Organisation & Design ~ The Hardware Software Interface, Second Edition, Morgan Kaufmann, San Fransisco

21. Huntsman C., Cawthron D. (1983) The MC 68881 Floating-point Co­processor, IEEE Micro, pp. 9-22

22. Hwang K. (1979) Computer Arithmetic - Principles, Architecture, and Design, Wiley and Sons

23. Hwang K., Briggs F.A. (1985) Computer Architecture and Parallel Pro­cessing, McGraw Hill

24. IEEE-754 (1985) IEEE Standard for Binary Floating-point Arithmetic, New York

25. IEEE 802.3 (1985) Local Area Networks: Carrier Sense Multiple Access with Collision Detection, IEEE Computer Society Press, L.A.

26. INMOS Ltd. (1987) IMS T800 Architecture, Technical Note 6, Bristol 27. INMOS Ltd. (1988) Transputer Reference Manual, Prentice Hall 28. Katevenis M. (1985) Reduced Instruction Set Computers for VLSI, PhD­

Thesis, MIT Press, Cambridge 29. Keller J., Paul W. (1997) Hardware Design, 2. Aufiage, Teubner-Verlag 30. Klein A. (1986) Reduced Instruction Set Computers - Grundprinzipien

einer neuen Rechnerarchitektur, Informatik-Spektrum 9, 334-348 31. Kohonen T. (1984) Self-Organisation and Associative Memory,

Springer-Verlag, Berlin 32. Liebig H., Flik T. (1993) Rechnerorganisation - Prinzipien, Strukturen,

Algorithmen, 2. Aufiage, Springer-Verlag 33. Margulis N. (1990) Crayon a Chip, c't Heft 2, S.38-45 34. Mayer U. (1988) RISC-MIPS von MIPS, Design und Elektronik, 14, pp.

90-96

35. Motorola (1982) MC 68000 16-Bit Microprocessor, User's Manual, third edition

36. Motorola (1988) MC 88100 32-Bit Third-Generation RISC-Microprozessor, MC 88200 16-Kilobyte Cache/Memory Management Unit (CMMU), Hypermodule Family, Product Overview

37. Patterson David A., Sequin Carlo H. (1982) A VLSI RISC, IEEE Com­puter, 8-22

38. Patterson David A., Piepho Richard S. (1982) Assessing RISCs in High­Level Language Support, IEEE Mikro, 9-18

39. Radin G. (1983) The 801 Minicomputer, IBM Journal for Research and Development, 27, 3, pp. 237-246

40. Reimann B., Wilde M. (1989) Peripherie denkt mit, c't 11/89, Heise­Verlag

Literaturverzeichnis 327

41. Richardson R. (1988) Dhrystone Results, USENET-Mitteilungen 42. Rudyk M. (1982) VME-Bus, Modulares Konzept fUr Mikrocomputer­

Karten mit Europaformat, Elektronik, No. 10 43. Schiffmann W., Schmitz R. (1996) Technische Informatik - Grundlagen

der digitalen Elektronik, 3. Aufiage, Springer-Verlag

44. Schiffmann W., Schmitz R., Weiland J. (1994) Technische Informatik -Ubungsbuch mit Diskette, Springer-Verlag

45. Schmidt V., u.a. (1978) Digitalschaltungen mit Mikroprozessoren, Teubner-Verlag

46. Schrufer E. (1984) Elektrische MeBtechnik, 2. Aufiage, Hanser-Verlag 47. Schurmann B. (1997) Rechnerverbindungsstrukturen - Bussysteme und

Netze, Vieweg-Verlag

48. Schutte A. (1988) Programmieren in OCCAM, Addison-Wesley Verlag

49. Serlin O. (1986) MIPS, Dhrystones, and Other Tales, Datamation 32, 112-118, No. 11

50. Shah A., et. al. (1977) Integrierte Schaltungen in Digitalen Systemen, Bd.l und Bd.2, Birkhauser

51. Siemens (1976/77) Digitale Schaltungen, Datenbuch, Siemens AG

52. Spaniol O. (1976) Arithmetik in Rechenanlagen, Teubner-Verlag 53. Smith A. J. (1982) Cache Memories, Computing Surveys, Vol. 14, pp.

473-530, September

54. Stallings W. (1984) Local Networks, Computing Surveys, Vol. 16, No.1, March

55. Stallings W. (Ed.) (1988) Computer Communications: Architectures, Protocols, and Standards, IEEE Computer Society Press, Tutorial, L.A.

56. Stearns S.D. (1984) Digitale Verarbeitung analoger Signale, Oldenburg­Verlag

57. Stone H.S. (1982) Microcomputer Interfacing, Addison-Wesley Verlag 58. Tabak D. (1995) RISC Systems and Applications, John Wiley and Sons,

New York 59. Tanenbaum A. S. (1996) Computer Networks, Prentice-Hall

60. Tomasulo R. M. (1967) An efficient algorithm for exploiting multiple arithmetic units, IBM Journal Research and Development 11:1 (January), p. 25-33

61. VMEbus Manufactures Group (1982) VMEbus Specification Manual, Rev. B

62. Waldschmidt K. (1980) Schaltungen der Datenverarbeitung, Teubner­Verlag

63. Weicker R.P. (1984) A Synthetic Systems Programming Benchmark, Communications of the ACM 27, Oct. 1984, 1013-10

328 Literaturverzeichnis

64. Weicker R. (1996) MeJ3latte fUr Workstations - Leistungsmessung mit den SPEC-Benchmarks, Elektronik 5/96, S. 114130, FranzisVerlag

65. Wendt S. (1974) Komplexe Schaltwerke, Springer-Verlag

66. Wilkes M.V. (1951) The Best Way to Design an Automatic Calculating Machine, Report of the Manchester University Computer Inaugural Confe­rence, Manchester University, Electrical Engineering Department, pp. 16-18

67. Wilson P. (1984) 32 Bit Micro Supporting Multiprocessing, Computer Design 23 (6), 143

68. Zobel, D. (1986) Programmierung von Echtzeitsystemen, Oldenburg­Verlag

69. Zobel D., Hogenkamp H. (1988) Konzepte paralleler Programmierspra­chen, Teubner-Verlag

A. Kurzreferenz Programm opw

Befehl Abk. Funktion Beispiel

s= Steuerwort s= Festlegen des Steuerwor- s= xxxxOl011xO

tes.

clock c Takten des Operations- clock

werkes.

dump d Ausgabe der Registerin- dump halte des Operationswer-kes

X= Konstante x= Setzt den Eingang X auf x= #-5

bestimmte Werte.

y= Konstante y= Setzt den Eingang Y auf y= $le

bestimmte Werte.

quit q Beendet die Simulation. quit

EQ? Harke eq? Springt zur Marke, wenn EQ? equal

die Summanden des Ad-dierers gleich sind.

NEQ? Harke neq? Springt zur Marke, wenn NEQ? loop

die Summanden des Ad-dierers ungleich sind.

PLUS? Harke plus? Springt zur Marke, wenn PLUS? plus

das hochstwertigste Bit des Ergebnisses des Ad-dierers gesetzt ist.

MINUS? Harke minus? Springt zur Marke, wenn MINUS? minus

das hochstwertigste Bit des Ergebnisses des Ad-dierers nicht gesetzt ist.

Konstruktor Funktion Beispiel

: Trennen von Befehlen, die in ei- clock : dump

ner Zeile stehen.

; Text, der dem Semikolon folgt, dump ; Ergebnis ausgeben

wird vom Programm ignoriert (Kommentare) .

> Definieren einer Marke. >loop

B. Kurzreferenz Programm ralu

Befehl Abk. Funktion Beispiel

control Steuerwort co Festlegen des Steuerwor- co $14321

tes.

clock c Takten der RALU. clock

dump d Am.gabe der Registerin- dump

halte etc.

set Reg-nr. Konstante s Setzt Register auf be- set 2 #-5

stimmte Werte.

quit q Beendet das RALU- quit

Programm.

carry 0/1 cy Das Carry-Flag wird ge- carry 1

setzt oder geloscht.

jmpcond PrUfmaske Harke jc Springt zur Marke, wenn jc $40 loop

die UND-Verkniipfung zwischen Status und Maske ungleich null ist.

jpncond PrUfmaske Harke jnc Springt zur Marke, wenn jnc $40 lb

die UND-Verkniipfung zwischen Status und Maske gleich null ist.

Konstruktor Funktion Beispiel

: Trennen von Befehlen, die in ei- clock : dump

ner Zeile stehen.

; Text, der dem Semikolon folgt, cy 0 ; Carry l6schen

wird vom Programm ignoriert (Kommentare) .

> Definieren einer Marke. >loop

c. Abkiirzungen

Abkiirzung Bedeutung

A/D Analog/Digital ALU Arithmetic Logic Unit ANSI American National Standards Institute ARPA Advanced Research Project Agency Network ASCII American Standard Code for Information Interchange ATC Address Translation Cache, vgl. TLB BCD Binary Coded Decimal bpi bit per inch, Aufzeichnungsdichte CAM Content Addressable Memory CCD Charge Coupled Device CDB Common Data Bus CISC Complex Instruction Set Computer CLAA Carry Look Ahead Adder CLAG Carry Look Ahead Generator CMAR Control Memory Address Register CMOS Complementary Metal Oxide Semiconductor CPI Cycles Per Instruction CPU Central Processing Unit, Prozessor, Zentraleinheit CRC Cyclic Redundancy Check CSMA/CD Carrier Sense Multiple Access with Collision Detecti-

on D/A Digital/ Analog DNF Disjunktive Normalform DMA Direct Memory Access, direkter Speicherzugriff DRAM Dynamic RAM DRI Defense Research Internet DC Directed Current, Gleichstrom E/A Ein-/ Ausgabe ECL Emitter Coupled Logic

Fortsetzung auf der nachsten Seite

334 C. Abkiirzungen

I Abkiirzung I Bedeutung

EPROM Erasable Programmable Read Only Memory, Loschba-rer und programmierbarer Festwertspeicher

EEPROM Electrical Erasable Programmable Read Only Memo-ry, Elektrisch lOschbarer und programmierbarer Fest-wertspeicher

EX Execute FET Feldeffekttransistor FIFO First In First Out FPU Floating Point Unit, Gleitkomma-Einheit HLL High Level Language IC Integrated Circuit ID Identity, Identification oder Instruction Decode IF Instruction Fetch IEEE Institute of Electrical and Electronics Engineers ILP Instruction Level Parallelism IMP Interface Message Processor IOP Input Output Prozessor, Ein-/ Ausgabe Prozessor ISO International Standards Organisation LAN Local Area Network, Lokales Netzwerk LFU Least Frequently Used LIFO Last In First Out LRC Longitudinal Redundancy Check LRU Least Recently Used LSI Large Scale Integration MEM Memory Access MIMD Multiple Instruction Multiple Data MISD Multiple Instruction Single Data MIPS Million of Instructions Per Seconds MFLOPS Millions of Floating Point Operations per Second MOS Metal Oxide Semiconductor MSI Medium Scale Integration NaN Not a Number NMOS N-Channel Metal Oxide Semiconductor NRZ Non Return to Zero OSI Open Systems Interconnection PC Program Counter, Programmzahler PLA Programmable Logic Array PMOS P-Channel Metal Oxide Semiconductor PROM Programmable Read Only Memory, programmierba-

rer Festwertspeicher Fortsetzung auf der nachsten Seite

Abktirzung

RALU RAM RCA RISC ROM RPN SIMD SISD SRAM TLB tpi VRC VLIW VLSI WAN WB UNIX

Bedeutung

Register-AL U, Rechenwerk Random Access Memory Ripple Carry Adder

c. Abkiirzungen 335

Reduced Instruction Set Computer Read Only Memory, Festwertspeicher Reverse Polnish Notation Single Instruction Multiple Data Single Instruction Single Data Static RAM Translation Lookaside Buffer, vgl. ATC tracks per inch, Spurdichte Vertical Redundancy Check Very Long Instruction Word Very Large Scale Integration Wide Area Network, Weitverkehrsnetz Write Back Operating System, Betriebssystem

Sachverzeichnis

Symbols D~Flipflop 3

Ruckkopplungsbedingungen ~ Erste Ruck.~Bed. 7 ~ Zweite Ruck.~Bed. 8

A A/D~Umsetzer 309 Abkurzungen 333 Abtasttheorem 311 Address~Modifier 217 Adress~Rechnungen 61 Adress~ Tag 293 Adressdecodierung 203 Adressfeld 45, 129 Adressierungsarten 124 Adressumsetzung 284 ~ Register zur 285 -- Tabellen zur 285 AGP 183 Akkumulator-Architektur 120 Algorithmus 10 Allocation 286 ~ nonpreemptive 287 ~ preemptive 288 ALU 42 Analog~ /Digital U msetzer 309 Arbiter 200, 204 ARPANET 244 Array~Prozessor 108 ~ Matrix~Multiplikation 114 ~ Verbindungs~Netzwerk 111 ASM~Diagramm 17 ~ ASM~Block 19

~ bedingte Ausgangsbox 19 ~ Entscheidungsbox 18 ~ Zustandsbox 17 Assembler 46 Assemblerprogrammierung 136 Assoziativspeicher 264 Athlon ~ Palomina 185 ~ Thouroghbred 185 Ausfuhrungsphase 42

B Basisbandiibertragung 227 Baudrate 302 Befehls-Pipelining 153 Befehlsarchitektur 117, 119 Befehlsarten 128 Befehlsformate 129 Befehlsregister 42 Befehlssatzarchitektur 117 Befehlsverarbeitung ~ mehrfadige 191 Befehlszahler 42 Big~Endian 123 Bootstrap Loader 47 branch target cache 176 Breitbandubertragung 236 Busarbitrierung 204 ~ Dezentrale Arbitrierung mit

Polling 208 ~ Dezentrale Daisy~Chain 206 ~ Zentrale Daisy~Chain 204 ~ Zentraler Arbiter mit Polling 208 ~ Zentraler Arbiter mit Stichleitun-

gen 207

338 Sachverzeichnis

Busse 194 - Busprotokolle 195 - Verbindungstopologien 195 Bustreiber 201

C Cache 47, 291 - Adressumsetzung 294 - Aufbau 293 - Organisation 294 Cache-Architekturen 292 Carry Look Ahead Adder 66 - Carry generate 68 -- Carry propagate 68 - mehrstufige 70 - Zeitanalyse 71 Chipsatz 181 CISC 11 - Compiler 136 - Geschwindigkeit des Hauptspei-

chers 137 - Merkmale 136 - Mikroprogrammspeicher 137 - Motorola 68000 138 - Unterstiitzung von Betriebsyste-

men 136 - virtuelle Speicher 137 - Zahl der Mikroschritte 137 CISC--Prozessoren 135 Clock Skew 6 Common Data Bus 174 Compiler - Funktion 164 - optimierende 163 Computersysteme - aktuelle 181 - Desktop-Prozessoren 184 -- Entwicklungstrends 189 - Server-Prozessoren 186 Continuation-Methode 290 Control Memory 14 CPI-Wert 133 CPU 42 CRC 238

D D/ A-Umsetzer 305 Daisy-Chain 202 Datei-Organisation 296 Dateien 296 - Index-sequentielle 297 - random-access 297 - sequentielle 297 Datenbus 47 DatenfluBkonflikte 159 - NOPs beseitigen 165 - RAW 159 - WAR 160 - WAW 160 Datenformate 127 DDR-SDRAM 182 Digital-/ Analog Umsetzer 305 DMA 90 DMA-Betriebsarten 91 - Burst-Mode 91 - Cycle-stealing Mode 91 - transparentes DMA 91 DRDRAM 182 Drei-Adress Maschine 60 Drucker 320 - Laser 323 - Nadel 323 -- Thermo 323 - Tintenstrahl 323 - Typenketten 320 - Typenrad 321 - Typenwalzen 321 Dual-Addition 63 - Carry Look Ahead Adder 66 - Ripple Carry Adder 65 - Serienaddierer 65 - Volladdierer 64

E Ein-/ Ausgabe 47, 299 - analoge 304 - parallele 299 - serielle 301 Ein--/ Ausgabe Prozessor 92 Ein-Adress Maschine 60

Einsen-Zahler 20 Ethernet-LAN 228

F

Fehlerbehandlung 54 Feldrechner 108 Festplatten-Controller 278 Festwertspeicher 259 - EEPROM 261 - EPROM 260 - Masken-ROMs 259 - PROM 260 fetch 42 FIFO-Speicher 262 Firmware 77 Flags 42 FluBsteuerung 243 Flynn - Klassifikation nach 99 FM-Codierung 270 Formatierung 276

G Gleitkomma-Darstellung 94 - Darstellung der Null 97 - Darstellung des Exponenten 97 - Gleitkomma-Addierer 107 - IEEE-754 Standard 96 - normalisierte 95 Gleitkomma-Einheit 93 - Athlon 185 - Coprozessor 98 Gleitkomma-Format 95

H Halbleiterspeicher 251 Hardware-Algorithmus 15 Hardware-Parallelitat 89 HARVARD-Architektur 93 Hauptspeicher 47 Hauptspeicherzuteilung 286 Hold Time 4 Holephase 42 Hub 228 Hyperthreading 191

Sachverzeichnis 339

I IDE 184 Implementierung - logische 130 - technologische 131 in-order completion 171 in-order issue 171 Instruction Set Architecture 117 Interrupt-Vektor 54 Interrupts 50, 53 - Abfragemethode 56 - Anwendungen 53 - Beispiel 59 - Betriebssysteme 53 - Codemethode 57 - Ein-/ Ausgabe 53 - maskierbare 55 - mehrere 55 - nicht maskierbare 55 - polling 56 - Prioritaten 57 - Service Routine 55 - Vektormethode 56 - Verarbeitung 54 ISA 183 Itanium 180 - McKinley 187

K Kippintervall 4 Kommunikation 193 Komparator 311 Komplexe Schaltwerke 1 Kupfertechnologie 190

L LAN 234 - Bridges 235 - Gateways 235 - Repeater 235 Laufzeitkonflikte 160 - dynamische 162 - NOPs beseitigen 165 - statische 161

340 Sachverzeichnis

Leitungscodes 225 Leitwerk 42, 76 - Mikroprogrammierung 76 - Steuerwort-Speicher 77 LFU-Algorithmus 289 LIFO-Prinzip 50 Little-Endian 123 Logische Operationen 72 LRU-Algorithmus 288

M Mause 314 - optische 315 - Rollmaus 314 Magnetomotorische Speicher 265 Makro-Operationen 11 Makroassembler 53 Maschinenprogramm 42 Master-Slave Flipflop 8 memory mapped 10 49 MFM-Codierung 272 Mikroassembler 77 Mikroprogramm--Steuerwerk 77 - Adresserzeugung 79 - Folgeadressen 79 - Mikrobefehlsformat 78 - Mikrooperation 78

Mikroprogrammzahler 78 reagierendes 78

Mikroprogrammierung 13 - Parallelitat 136 Mikroprozessor 47 MIMD 99 MISD 99 Mnemonic 46 Motorola 68000 138 - Adressierungsarten 140 - Befehlssatz 141 - Datenformate 138 - Entwicklung zum 68060 148 -- Exception Processing 146 -- Organisation Hauptspeicher 140 - Register 138 Multiple Instruction Issue 169 Multithreading 191

N North-Bridge 181 Null-Adress Maschine 60

o Omega-Netzwerk 113 Opcode 42, 45 Operationscode 11, 42 Operationswerk 9 -- Konstruktionsregeln 11 -- Simulationsprogramm 33 -- universelles 30 Opteron - Sledgehammer 187 OSFl'vlodell 245 out-of-order completion 171 out--of-order issue 171

p

Paging 283 Parallelbusse 198 - Busfunktionen 199 -- Businterface 199

elektrische Realisierung 201 - mechanischer Aufbau 201 PCI183 Peak-Shift-Effekt 275 Pentium 3 186 Pentium 4 --- Northwood 186

Willamette 186 Permutationsnetz 113 Pipeline-Arten 104 -- arithmetische Pipelines 104 - Befehls--Pipelining 105 Pipeline-Prozessoren 100 - Aufbau 101 -- BewertungsmaBe 102 - Durchsatz 104 - Effizienz 103

-- Speedup 103 - Time--Space Diagramm 102 Pipelinekonflikte 155 Ports 121

PowerPC 620 177 Pre fetching 293 Prioritatsencoder 57 Programm opw 33 - Beispielprogramme 37 - Benutzung 34 - Interaktiv-Modus 36 - Kurzreferenz 329 - Optionen 34 - Programm-Modus 37 - Betriebsarten und Befehle 36 Programm ralu 80 - Beispielprogramme 85 - Benutzung 81 - dump-Befehl 83 - Kurzreferenz 331 - Setzen von Registern 82 - Sprungbefehle 84 - Statusregister 84 - Steuerwort 82 - Takten der RAL U 83 Prozessor 42 Prozessorarchitektur 117 Prozessorarchitekturen - CISC 117 - Klassen 117 - Skalare RISC 117 - Superskalare RISC 117 - VLIW 117 - aktuelle 181 Prozessorleistung 132 Prozessorregister 49 Pufferspeicher - mit seriellem Zugriff 262 Pufferspeicherverwaltung 244

R Riickkopplungsbedingungen 6 RALU 80 - Aufbau 81 - Mikroprogrammierung 80 Rambus 182 Rechenwerk 42 - Adress-Register 49 - Daten-Register 49, 60

Sachverzeichnis 341

- Datenpfade 61 - logische Operationen 72 - Registerarchitektur 60 - Stackarchitektur 60 - Status-Flags 74 Rechnerfamilien 136 Rechnergenerationen 118 Register-Architektur 120 Register-Register 122 Register-Speicher 122 Rekursion 52 reservation station 172 Reservierungsstation 172 Restart-Methode 290 RISC 11 - Architekturmerkmale 152 - Befehls-Pipelining 153 - Definition 153 RISC-Prozessor - Aufbau 155 RISC-Prozessoren 151 - skalare 158 - superskalare 168 RLL-Codierung 272 Roadmap 184 Routing 243

s Sammelleitung 202 Sample-and-Hold Glied 310 Schaltereinheit 111 Schaltwerk 2 - asynchrones 3 - dynamische Flipflop-KenngroBen

3 - Einregister 3 - Entwurf 9 - Hardware-Algorithmus 10 - komplexes 9, 10 - MEALY-Automat 2 - MOORE-Automat 2 - Riickkopplung 2 - synchrones 3 - Zeitverhalten 2 Schiebemultiplexer 62

342 Sachverzeichnis

Schreib/Lese-Speicher 253 -- Bipolares SRAM 254 - CMOS-SRAM 256

Dynamische RAMs 256 - NMOS-SRAM 255 -- Statische RAMs 254 Scoreboard 172 SCSI-Bus 219 Segmentierung 282 Segmelltregister 50 semalltische Lucke 135 Semaphore 290 Serielle Ubertragullg 222 - asynchrone 225 - Betriebsarten 223 - Leitungscodes 225 - synchrone 224 Setup Time 4 Shifter 42, 62 Shuffle-Exchange Netz 112 Signal Skew 6, 202 Silicon-on-Isolator 190 SIMD 99 Single Instruction Issue 168 SISD 99 SOl 190 South-Bridge 181 Speicher 249 - mit wahlfreiem Zugriff 252 Speicher-Speicher 122 Speicherverwaltung 280 Speicherzugriff - direkter 90 Sprungzielcache 176 Stack 50 Stack-Architektur 120 Stackpointer 50 Status-Flags 74 Status-Register 42 Statusvektor 10 Stausteuerung 243 Steuerbus 48 SteuerfluBkonflikte 162 - NOPs beseitigen 166

Steuervektor 10 Steuerwerk 9 - Entwurf 13 Steuerwort-Speicher 14 Stichleitung 202 Strukturelle KOllflikte 158 - Minimierung 164 Strukturgr6Be 189 Supervisor-Modus 140 Superpipelining 167 Superskalare RISC 168

T Technologische Entwicklung 131 Time-Space Diagramm 102 Timer 304 TLB 285 Token-Bus 234 Token-Ring 233 Translation Lookaside Buffer 285 Trap 54

U Ubergangstabelle 9 Ubersprechen 203 Ubertragungsprotokolle 209 - asynchrone 212 - semisynchrone 211 - Split-Cycle 211 - synchrone 209 Ubertragungssicherung 237 Unterbrechung 50 Unterprogramm 50, 51 - CALL-Befehl 51 - RETURN-BefehI52 - Zeitbedarf 52 U rladeprogramrn 47 USB 183

V Vektorprozessor 90, 104 Verschachtelung 52 Verwurfler und Entwiirfler 222 Video-Monitore 316 virtueller Speicher 251, 281 VLIW -Prozessor 179

VME-Bus 216 von NEUMANN-Rechner 11, 12, 41 - Grundkonzept 41

W WAN 240 - Betrieb von 242 - Vermittlungstechnik 241 Watchdog Timer 216

Sachverzeichnis 343

wired-OR 202 Wirkintervall 4 Write-Precompensation 276

Z Zeilensprungverfahren 317 Zeitgeber 304 Zwei-Adress Maschine 60 Zyklische Blocksicherung 238