Spring00 spectroscopic

5
Spring 2000 Yield Management Solutions 52 Process Parametrics F E A T U R E S Spectroscopic Ellipsometry for Copper and Low κ Process Development by Arun R. Srivatsa and Carlos L. Ygartua, KLA-Tencor Corporation Considerable work is underway in the development and integration of Cu and low κ processes (1-3) . Most of the current and immediate Cu-based technologies utilize oxide or oxide-like materials with appropri- ate barrier materials to form the interlayer dielectric (ILD) structure. Simultaneously, much work is ongoing in the development of low κ materials and resolution of process issues, since the benefits of Cu technology are better realized by integration with low κ dielectrics. Materials being studied for low κ ILD structures can be broadly classified into three categories: a) spin-on polymers, e.g., SiLK™, FLARE™ and BCB; b) chemical vapor deposited (CVD) films, e.g., Black Diamond, Coral™, SiOF, BLOK™ and c) highly porous films for ultra low κ applications, e.g., Nanoglass. The porous films are candidates for ultra low κ applications, potentially several years from now. In the near term, for materials with dielectric constants around 2.7, the choice is between the spin-on polymers and the CVD deposited films. Spectroscopic Ellipsometry (SE) is a fast, non-destructive technique used for the routine production monitoring of single layered and multilayered thin film structures. In addition to thickness, the refractive index (RI) can be monitored at one or more appropriate wavelengths for a single layer or multiple layers in a multi-layered structure for greater process control. The dispersion (variation of RI with wavelength) can be correlated to the microstructure and composition of the film. Processes can be characterized by monitoring the change in RI with process conditions at appropriate wavelengths chosen for maximum sensitivity to process variations. In this article, we review some of the recent applications of SE for copper (Cu) and low κ process development. In routine production, the ability to monitor the stability of a process is critical. From a metrology standpoint, therefore, the capability to measure and monitor the different kinds of low κ materials and multilayered ILD structures on silicon or Cu substrates is essential. In this article, we demonstrate the capability of SE for materials characterization and production monitoring using several examples. As there are many reviews on SE, this article does not go into any details on the technique (4-5) . Monitoring the cure of SiLK The dispersion characteristics of spin-on polymers like SiLK change with annealing (curing). A thin film of SiLK is formed by spin-coat application of an oligomeric solution. This is subsequently cross-linked by a cure process. Since the mechanical properties of SiLK depend on the degree of cure, it is essential to monitor the cur- ing process using a rapid non-destructive technique. To determine the usefulness of SE for monitoring the cure process, a time-temperature annealed wafer set provided by researchers from Dow Chemical was ana- lyzed. The wafer thicknesses, annealing conditions and measurement results are summarized in Table 1. All measurements were carried out on a KLA-Tencor ASET-F5 thin film measurement system. A simple three-term BEMA model was used for the analysis. The dispersion characteristics of the SiLK were also

description

 

Transcript of Spring00 spectroscopic

Page 1: Spring00 spectroscopic

Spring 2000 Yield Management Solutions52

Process ParametricsF E A T U R E S

S p e c t roscopic Ellipsometry for Copperand Low κ P rocess Development

by Arun R. Srivatsa and Carlos L. Ygartua, KLA-Tencor Corporation

Considerable work is underway in thedevelopment and integration of Cu and lowκ processes(1-3). Most of the current andimmediate Cu-based technologies utilizeoxide or oxide-like materials with appropri-ate barrier materials to form the interlayerdielectric (ILD) structure. Simultaneously,much work is ongoing in the developmentof low κ materials and resolution of processissues, since the benefits of Cu technologyare better realized by integration with low κdielectrics. Materials being studied for lowκ ILD structures can be broadly classifiedinto three categories:

a) spin-on polymers, e.g., SiLK™,FLARE™ and BCB;

b) chemical vapor deposited (CVD) films,e.g., Black Diamond, Coral™, SiOF,BLOK™ and

c) highly porous films for ultra low κapplications, e.g., Nanoglass.

The porous films are candidates for ultralow κ applications, potentially several yearsfrom now. In the near term, for materialswith dielectric constants around 2.7, thechoice is between the spin-on polymers andthe CVD deposited films.

Spectroscopic Ellipsometry (SE) is a fast, non-destructive technique used for the routine production monitoring of single layered and multilayered thin film structures. In addition to thickness, the refractive index (RI) can be monitored at oneor more appropriate wavelengths for a single layer or multiple layers in a multi-layered structure for greater process control. The dispersion (variation of RI with wavelength) can be correlated to the microstructure and composition of thefilm. Processes can be characterized by monitoring the change in RI with process conditions at appropriate wavelengths chosen for maximum sensitivity to process variations. In this article, we review some of the recent applications of SE for copper (Cu) and low κ process development.

In routine production, the ability to monitor the stabilityof a process is critical. From a metrology standpoint,therefore, the capability to measure and monitor thedifferent kinds of low κ materials and multilayered ILDstructures on silicon or Cu substrates is essential. In thisarticle, we demonstrate the capability of SE for materialscharacterization and production monitoring using severalexamples. As there are many reviews on SE, this articledoes not go into any details on the technique(4-5).

Monitoring the cure of SiLKThe dispersion characteristics of spin-on polymers likeSiLK change with annealing (curing). A thin film ofSiLK is formed by spin-coat application of an oligomericsolution. This is subsequently cross-linked by a cureprocess. Since the mechanical properties of SiLK dependon the degree of cure, it is essential to monitor the cur-ing process using a rapid non-destructive technique.

To determine the usefulness of SE for monitoring thecure process, a time-temperature annealed wafer setprovided by researchers from Dow Chemical was ana-lyzed. The wafer thicknesses, annealing conditions andmeasurement results are summarized in Table 1. Allmeasurements were carried out on a KLA-TencorASET-F5 thin film measurement system. A simplethree-term BEMA model was used for the analysis. The dispersion characteristics of the SiLK were also

Page 2: Spring00 spectroscopic

Spring 2000 Yield Management Solutions 53

F E A T U R E S

seen that there are two peaks, both of which decreasewith increasing cure. A consistent, gradual change inindex is observed for the more extensive time-tempera-ture anneal data summarized in Table 1. The effect ofthe anneal on the index at 314 nm is also clearly seenin the plot in Figure 1b, which includes a subset of thedata from the Table, showing the variation of the indexwith annealing time at a constant temperature. Fromthis, it is seen that 314 nm is best suited for monitor-ing the cure of SiLK. The total magnitude of thechange in RI is around 0.08 at 314 nm. While this islarge enough to monitor the cure process in productionsystems, more statistical experiments need to be carriedout to determine the repeatability of the cure processand the degree of resolution of the cure.

Porous films – void fraction andcorrelation to RIBy mixing material with void and creatingporous films, ultra low dielectric constants(below 2) can be achieved. The dielectric con-stant of the films is a function of porosity. Themechanical properties depend on several factors,total porosity, pore size and distribution.Nanoglass is an example of a porous, SiO2 basedfilm. These materials are typically formed byspin coating. A typical nanoglass spectrum anddispersion plot is shown in figure 2a. Note thatthe nanoglass has an index close to 1 across theentire spectrum suggesting a highly porousfilm. A simple, two-term BEMA model usingindependently validated by analysis

of spectra from a research gradespectrometer (GESP-5) using variableangle spectroscopic ellipsometry.

The thickness of the SiLK films forall wafers was around 7300 Å. Priorto the annealing step, all samples,including the control, were exposedto a soft bake at about 320º C. Theoptical dispersion of the SiLK wasanalyzed as a function of cure. Itwas found that the effect of theannealing was most pronounced onthe optical properties of the SiLK inthe region around 300 nm, especial-ly at 314 nm. The variation in thedispersion (n – real component ofrefractive index) profile in this regionfor three different anneal conditionsis plotted in Figure 1a. It can be

Table 1. Var iation of RI of SiLK with annealing conditions.

F i g u re 1a) . Variation in dispersion characteri stics of SiLK as a function of annealing

b) Change in RI at 314 nm with annealing time at constant temperature (400°C)

F i g u re 2. a) Tan(psi)- Cos(delta) spectra of a nanoglass film on sil icon. b) Typical dispersion of a

n a n o g l a s s .

1 a ) 1 b )

2 a ) 2 b )

Page 3: Spring00 spectroscopic

Measurement of a mutilayered dielectricfilm structureA) Seven Layer Filmstack on SiThe following example illustrates the multilayer mea-surement capability of SE. A seven-layer dielectric filmstack was built up from the silicon substrate with thefollowing target thicknesses:

(Si/oxide (5500Å)nitride (1000Å)BCB (7000Å)oxide (1000Å)nitride (1000Å)BCB (7000Å)oxide (1000Å)

The uniformity of the layers on this 200 mm wafer wasevaluated by doing a wafer map with a 10 mm edgeexclusion zone with a KLA-Tencor UV-1280SE. Toavoid correlations, the thickness of the middle oxidelayer was fixed. Thicknesses of the other six layers andthe index of the bottom BCB layer, a total of eightparameters, were measured.

Wafer contour maps for the top and bottom BCB layersare shown in Figure 4. The thicknesses are in line withthe nominal thicknesses, and the ranges are consistentand similar to thickness range observed for a three layerstack with a single BCB layer as reported previously(6).

B) Four Layer Dielectric Filmstack on CuMultilayer measurement capability on Cu is illustratedin Figure 5. A four layer filmstack with oxide/nitride/oxide/nitride on Cu was measured using the ASET-F5.The Cu layer in this case is thick enough to absorblight and serve as an “effective” substrate. Contourmaps of all the layers were simultaneously obtained,

silicon dioxide and void was used for the analysis. Thevoid fraction or porosity can be directly derived fromthe model.

Analysis of several nanoglass samples revealed a linearrelationship between the refractive index of the film(reported at 633 nm) and the void fraction (Figure 3).Therefore, by measuring the RI of the film, it is possible

to compute the void fraction. For nanoglass and xero-gels, the pore size and distribution are parameters ofinterest. While SE is extremely sensitive to smallchanges, a systematic study needs to be undertaken todetermine if these additional parameters can be moni-tored using this technique.

Vapor deposited, oxide-like and otherlow κ filmsWe have analyzed a variety of single layer and/or mul-tilayered filmstacks with low κ films formed by CVDand/or other techniques. A partial list includes, SiLK,BCB, FLARE, FSG, HOSP, TY7, HSQ, BlackDiamond, BLOK and Nanoglass. Many of the CVDdeposited films like Black Diamond are oxide-like innature and quite easy to characterize. The BLOK (bar-rier low κ) films appear to have both C and O. Thecomposition of these films is tuned depending on theapplication and, consequently, there is a wide range ofRI values for the BLOK films.

Spring 2000 Yield Management Solutions54

F E A T U R E S

F i g u re 3. Variation of refractive index (n a t 633 nm) with void fract ion

in silica based nanoglass and xerogels. Note the linear re l a t i o n s h i p

between index and void fraction.

F i g u re 4. Schematic of seven layer fi lmstack with two layers of BCB

and contour maps of the “top” and bottom BCB layers.

1kÅ oxide

7kÅ low-κ1kÅ nitride

1kÅ oxide

7kÅ low-κ1kÅ nitride

5.5kÅ oxide

Silicon

Page 4: Spring00 spectroscopic

Spring 2000 Yield Management Solutions 55

F E A T U R E S

with an edge exclusion zone of 6 mm. The contour mapprovides a means for mapping the deposition reactor“signature” profile. The contour maps for both theoxide layers, which are formed on top of nitride layers,have similar characteristics. There are some differencesin the two nitride contour maps, possibly due to thedifferences in deposition of nitride on silicon andnitride on oxide. Thicknesses of all the layers weredetermined correctly (verified subsequently by cross-sectional analysis), despite the fact that the nominalthicknesses were not provided prior to the measurement.The robustness of the measurement was also verifiedusing a standard “precision” test – 30 repeat measure-

ments without moving the wafer. The 1 sigma standarddeviation for each of the layers was less than 0.15 Å.

Monitoring the oxidation of copperSE offers an easy way to monitor the oxidation of copper.The dispersion plots for copper, cuprous oxide and cupricoxide are shown in Figure 6. It is seen that there aresubstantial differences between pure copper and thedifferent oxides. Therefore, by monitoring the dispersionof the film, it will be possible to identify the p r e s e n c eof either a pure single phase or mixtures of phases.

Interfacial reactions between copperand silicon dioxide Copper tends to react in the presence of oxygen. This isone of the reasons for a thin nitride barrier between sil-icon dioxide or oxide-like low κ materials and copper.An example of the sensitivity of SE to the variation ofthe interfacial reacted film is shown in Figure 7. In thisexample, silicon dioxide film about 7000 Å thick,deposited directly on a copper film was analyzed. Aninterfacial, reacted layer was found with a thicknessthat varied from about 100 – 300 Å across the wafer.In addition, the dispersion of the reacted layer variedsubstantially across the wafer indicating variation incomposition of this layer. The two spectra reproducedin Figure 7, from different locations of the wafer, showthe clear differences between the films. Note the differ-ences in the spectral features in the wavelength rangefrom about 350 to 650 nm between the two spectra. Itis interesting to note that significant differences wereobserved even over very small areas within a zone of 1 mm diameter.

F i g u re 5. Dielect ric fi lmstack on copper with targeted thicknesses indi -

cated. Wafer contour maps for the individual layers are shown on the

righ t. The short term repeatability (single site – 30 repeat measure-

ments) for a simultaneous measurement of all 4 layers was less than

0.15 Å, 1 sigma for each layer.

F i g u re 6. Dispersion characteri stics of copper, cuprous and cupr ic oxide.

3kÅ oxide

500 Å nitride

7kÅ oxide

1kÅ nitride

COPPER

Tantalum

Oxide

Silicon

Page 5: Spring00 spectroscopic

SummarySE is a powerful technique that can be used both forprocess characterization and routine process monitor-ing. Using the wafer mapping capability in productionsystems, the “signatures” of the deposition reactors canbe determined and monitored. The examples discussedin this article illustrate the usefulness of this technique

for copper and low κ process development andprocess monitoring.

AcklowledgementsWe thank our customers and collaborators for provid-ing samples for analysis.

References1 . C.S. Chang, K.A. Monnig, M. Melliar-Smith, Pro-

ceedings of the IEEE 1998 International Interc o n n e c tTechnology Conference, 3 (1998).

2 . C.H. Ting and T.E. Seidel, Mater. Res. Soc. Symp.P roc., V381, 3 (1995).

3 . S . P. Murarka, Mater. Sci. Eng. R, V19, 87 (1997).

4 . K. Vedam, Thin Solid Films, 313-314, 1 (1998).

5 . R.M.A. Azzam and N.M. Bashara, Ellipsometry andPolarized Light, North Holland, Amsterdam (1997).

6 . A.R. Srivatsa and C. Ygartua, in “Optical Metro l o g y ”Ed., Ghanim Al-Jumaily, SPIE Optical EngineeringP ress, p 61 (1999).

F i g u re 7. Tan(psi) -Cos (delta) spectra from diff e ren t areas of a sil icon

dioxide fi lm on copper. Diff e rences are pr imarily due to the d iff e r-

ences in the interfacial layer.

There’s a very important new challenge

in the semiconductor industry today.

How to achieve superior defect imaging and the highest

accuracy in classifications. Advanced geometries, copper

interconnects and dual-damascene processes all demand it.

And now the eV300 defect review tool meets this

challenge. The eV300 is the only in-line ADC SEM

available that provides both 0- 45º tilt and 360º rotation

capabilities. And with image acquisition of less than one

s e c o n d — i t ’s also the fastest. For more infor-

mation, please call us at (781) 280-1874, or

visit our website at www . k l a - t e n c o r. c o m .

H OW YOU LOOK AT THINGS IS VERY IMPORTA N T

It can cost you time and money—or it can save you time and money.

Defect at Flat view0º Tilt

Defect at Flat view45º Tilt

Defect at 45º Tiltand 30º Rotate

F E A T U R E S

c i rcle RS#002

c i rcle RS#031