SPIE 8169_12, Optical Design Conference Marseille, Wafer-Level Micro-Optics, Sept 7, 2011

38
Wafer-Level Micro-Optics: Trends in Manufacturing, Testing and Packaging Reinhard Voelkel, Kenneth J. Weible, Martin Eisner SUSS MicroOptics SA, Neuchâtel, Switzerland [email protected], www.suss.ch SPIE 8169-12

description

Micro-optics is an indispensable key enabling technology (KET) for many applications today. The important role of micro-optical components is based on three different motivations: miniaturization, high functionality and packaging aspects. It is obvious that miniaturized systems require micro-optics for light focusing, light shaping and imaging. More important for industrial applications is the high functionality of micro-optics that allows combining these different functions in one element. In DUV Lithography Steppers and Scanners an extremely precise beam shaping of the Excimer laser profile is required. High-precision diffractive optical elements are well suited for this task. For Wafer-Level Cameras (WLC) and fiber optical systems the packaging aspects are more important. Wafer-Level Micro-Optics technology allows manufacturing and packaging some thousands of sub-components in parallel. We report on the state of the art in wafer-based manufacturing, testing and packaging. Keywords: Micro-optics, microlens array, diffractive optical elements, wafer-level optics, wafer-level packaging, beam shaping, fiber coupling, array illumination, Shack-Hartmann, confocal microscope, slow-axis collimator.

Transcript of SPIE 8169_12, Optical Design Conference Marseille, Wafer-Level Micro-Optics, Sept 7, 2011

Page 1: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Wafer-Level Micro-Optics:

Trends in Manufacturing,

Testing and Packaging

Reinhard Voelkel, Kenneth J. Weible, Martin Eisner

SUSS MicroOptics SA, Neuchâtel, Switzerland

[email protected], www.suss.ch

SPIE 8169-12

Page 2: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

SUSS MicroOptics – We Set The Standards

World leading supplier of high-quality Micro-Optics

More than 200 active customers

Part of the SUSS MicroTec Group (www.suss.com)

Neuchâtel, Switzerland

SUSS MicroOptics is “Preferred Supplier” for Carl Zeiss SMT

DUV Laser Beam Shaping Solutions for ASML Litho Stepper

Page 3: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Industrial optics manufacturing

started around 1840

Source: www.wikipedia.org

Page 4: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Microscopy and photography

were the technology drivers.

Cameras and binoculars were the

first optical consumer products.

Micro-Optics was not an issue.

Page 5: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Gabriel Lippmann (1845 – 1921)

1891 Interference Color Photography

Wavelength-selective volume holograms

1908 Integral photography

Auto-stereoscopic method to display 3D

images for observation with the naked eye

1908 Nobel Prize

Source: www.wikipedia.org, www.google.com/patents

Page 6: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Walter R. Hess: Stereoscope Images

1912 Parallax Panoramagrams

Array of cylindrical microlenses for 3D displays

Working as a doctor (Ophthalmologist)

Nobel prize for Physiology

Source: www.google.com/patents

Page 7: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Microlens Arrays for Color Photography

1925 “Gaffered film” Paul Fournier

Microlens arrays on film to separate the color

US Patent 1,746,584,

Source: www.google.com/patents

Page 8: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Köhler Integrator – Fly‘s Eye Illumination

Source: www.wikipedia.org, www.google.com/patents

Page 9: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Many Innovative Ideas for Micro-Optical Systems

US Patent 1762932, Mihalyi, Fly‘s Eye Condensor for Projector, 1927

US Patent 2018592, Arnulf, 1932 US 2351034 Garbor „Superlens“, 1940

Source: www.wikipedia.org, www.google.com/patents

Page 10: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

How to

manufacture

Micro-Optics?

Source: www.google.com/patents

Page 11: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Maurice Bonnet (1907 – 1994)

Maurice Bonnet and the lathe used to

engrave lenticular screens for integral

photography*

*Photo: Coll. Michèle Bonnet from Michel Frizot, “Lenticular screen systems and Maurice Bonnet’s process”, from catalog

of exhibition “Paris in 3D” at Musée Carnavalet, ISBN: 1861541627 (2000)

ww2.cnam.fr

Source: www.wikipedia.org

Page 12: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Jean Hoerni (1924 – 1997): “Planar Process”

1959 Fairchild

Semiconductor

1963 First Karl Süss

Mask Aligner

Jean Hoerni at Fairchild

Source: www.wikipedia.org, www.google.com/patents

Page 13: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Computer Generated Holograms

Digital Optics

Planar Optics

Source: www.wikipedia.org

Page 14: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Micro-Optics Technology 1971 Josef Hanak, dry etching of holograms

1977 Mike Gale, multi-level diffractive optics

1982 Kenichi, stacked planar optics

1985 Zoran Popovich, melting resist microlenses

Multi-Level DOE Dry-etching of holograms in glass

Melting resist microlenses

Stacked planar micro-optics

Source: www.google.com/patents

Page 15: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Ideas and micro-structuring

technology developed in

Semiconductor industry enabled

Micro-Optics manufacturing.

Wafer-Level Micro-Optics!

Page 16: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Wafer: SEMI Standards 3-inch (76 mm)

4-inch (100 mm)

150 mm (referred to as "6 inch")

200 mm (referred to as "8 inch")

300 mm (referred to as "12 inch") 8’’ Wafer-Technology

Coater Mask Aligner Wafer Bonder Plasma Etch

Page 17: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

PHOTORESIST PROCESSING

A thick resist layer

Photolithography

Resist cylinders

Melting at 150°C.

Page 18: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

REACTIVE ION ETCHING

Reactive Ion Etching (RIE)

Profile shaping by changing etch ratio

Aspherical profiles with high precision

Page 19: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Metrology for Micro-Optics

Manufacturing

Phase profile of a densely packed array of 16-level DOEs

measured in white light profilometer Wyko NT3300

Page 20: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Aspherical Microlenses in Fused Silica

Comparison of measured lens profile (blue line) to ideal lens profile (dotted line) for

a microlens of 1.08mm lens diameter and 93µm sag height etched in Fused Silica.

Asphere, conic constant k = -1

Page 21: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Deviation of measured lens profile, expressed by a 12th degree polynomial fit, versus

the ideal lens profile. A deviation of 154.8nm (rms) from ideal lens profile is obtained.

Aspherical Microlenses in Fused Silica

Page 22: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Uniformity of Photoresist ±0.61% (p-v)

Page 23: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

High-Quality Diffractive Optical Elements (DOE)

8‘‘ wafer scale

190nm to 10µm wavelength range

0.5 μm min feature size

< 50nm overlay accuracy

Binary, 8-level, 16-level

Upto 98% diffraction efficiency

Page 24: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Hybrid Micro-Optics on Wafer-Level

Refractive Microlens Arrays

Diffractive Optical Elements (DOE)

Trenches, posts, grooves, holes

Full wafer-level integration

Page 25: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Hybrid Micro-Optics for Fiber Communication

Micro-Optical Fiber Coupler for communication industry

(ROADM, WSS, Transceiver...)

High-quality Micro-Optics for most competitive prices due to

8’’ wafer manufacturing

Page 26: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Packaging concepts for systems! Wafer-Level Packaging (WLP)

Wafer-Level Camera (WLC)

Scheme: Patent WO 2007 030226

Source: Fraunhofer IOF (Jena), www.wikipedia.org, www.google.com/patents

Page 27: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Thin wafer handling

Lens Imprint Litho (SMILE)

Wafer-Level Packaging (WLP)

Wafer-Level Camera (WLC)

Reinhard Voelkel, Martin Eisner, SUSS

MicroOptics SA, www.suss.ch

Lens Master Thin Wafer Handling Imprint Lithography Wafer-Level Packaging Wafer Dicing

SUSS MicroTec Mask Aligner: MA/BA8 Gen3

© Awaiba

© IOF

Page 28: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Micro-Camera for CMOS imagers

Wafer-Level Packaging (WLC)

NanEye WLC Camera (Awaiba)

for disponible endoscopes

German Research Project COMIKA (2008-2011)

Source: COMIKA, www.awaiba.com

Page 29: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Ultra-Flat Cluster Cameras

WALORI (2002 – 2005)

?

Jacques W. Duparre, Peter Schreiber, Peter Dannberg, Toralf Scharf, Petri Pelli, Reinhard Voelkel, Hans-Peter Herzig and

Andreas Braeuer, "Artificial compound eyes: different concepts and their application for ultraflat image acquisition sensors",

Proc. SPIE 5346, 89 (2004); doi:10.1117/12.530208

Source: Fraunhofer IOF (Jena), www.wikipedia.org

Page 30: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Micro-Optics in Front-End Lithography

Source: ASML, Nikon, Canon; www.google.com/patents

Key Enabling Technology

Diffractive Optical Elements (DOE)

MEMS Mirror Arrays (FlexRay™)

Customized Illumination

Excimer Laser (193nm)

Laser Beam Shaping

Laser Beam Homogenizing

Customized Illumination

Pupil Shaping (DOE)

Now: FlexRay™

programmable illumination

technology from ASML

Microlens Köhler Homogenizer

Page 31: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Advanced Mask Aligner Lithography (AMALITH)

Microlens Array

Optical System MA 200

Library of

Illumination

Filter

Plates (IFP)

Microlens Optical Integrators

MO Exposure Optics (patent pending)

Page 32: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

AMALITH: Pinhole Talbot Lithography

Simple pattern change with pixelated

Illumination Filter Plate (IFP)

Pinhole Lithography Multiple „Camera Obscura“

Full-wafer proximity

lithography suitable for

periodic structures.

IFP

SUSS Mask Aligner MA6

+ MO Exposure Optics

+ Customized Illumination

+ Pinhole Mask

+ Proximity Gap 66µm

33

Page 33: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

AMALITH: Pinhole-Talbot Lithography

Flowers 4 µm

Pitch 5 µm

Resist 2 µm thick

Etching RIE (Bosch) Silicon

Proximity Gap 102 µm

Mask Aligner MA8/BA6

34

0.8 µm

Page 34: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

SUSS Mask Aligner MA6

+ MO Exposure Optics

+ Customized Illumination

+ Half-tone photomask*

+ Proximity Litho, Gap 10µm *Half-tone photomask (dot-size 450nm), E-Beam written

AMALITH: Half-Tone Lithography

T. Harzendorf, L. Stuerzebecher, U. Vogler, U.D. Zeitner, R. Voelkel,

“Half-tone proximity lithography”, Photonics Europe, Conf. on Micro-

optics Fabrication Technologies, 7716-34, April 12-16, 2010

Page 35: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

AMALITH: Blazed Gratings on Wafer-Level

T. Harzendorf, L. Stuerzebecher, U. Vogler, U.D. Zeitner, R. Voelkel,

“Half-tone proximity lithography”, Photonics Europe, Conf. on Micro-

optics Fabrication Technologies, 7716-34, April 12-16, 2010

Page 36: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Solutions for Semiconductor Technology

Industrial Optics & Vision

Healthcare & Life Science

Metrology

Laser & Material Processing

Optical Communication

Page 37: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

Packaging 8’’ Wafer Technology Wafer-Level Packaging Pin-Holes, Crosses, Marks

Diffractive (DOE) Beam-Shaping Elements Mode Scrambler

Refractive (ROE) Microlens Arrays Fiber Coupler

Communication Telecom, Datacom, Fiber Optics, Transceiver, Switches, Camera, MEMS

Equipment Semiconductor, Photolithography, Illumination Systems

Optics, Life Science Optical Instruments, Confocal Microscopy, Healthcare, Laser Systems, Sensors, Metrology

Key Enabling Technology

High-Quality MicroOptics in Wafer-Technology

Page 38: SPIE 8169_12, Optical Design Conference Marseille,  Wafer-Level Micro-Optics, Sept 7, 2011

SUSS.

Our Solutions

Set Standards

SUSS MicroOptics SA Rue Jaquet-Droz 7

CH-2000 Neuchâtel

Switzerland

Tel +41-32-720-5104

Fax +41-32-720-5713

[email protected]