Simulation of 16 bit ALU using Verilog-hdl

2
@ IJTSRD | Available Online @ www ISSN No: 245 Inte R Simulation o Student, Depar Bhilai Ins ABSTRACT In many digital circuits ALU is a basic b It can be used in integer arithmetic com as Complex operation. This research pap the simulation of 16 bit ALU using design was implemented using V Synthesis tool ISE and targeted for S An ALU performs following operation subtraction, multiplication, Not, logic logical shift left, rotate right, rotate le XOR, NAND, NOR, XNOR and compa two signals. INTRODUCTION An arithmetic logic unit (AL combinational digital electronic circuit arithmetic and bitwise operations. It fundamental building block of the cent unit (CPU) of a computer. Modern CPU powerful and complex ALUs. An A basic arithmetic and logic operations. arithmetic operations are addition, multiplication, and division. Examp operations are NOT, AND, and OR. A perform in a computer is in form of bina 0 or 1. The logical operations are given b w.ijtsrd.com | Volume – 2 | Issue – 1 | Nov-Dec 56 - 6470 | www.ijtsrd.com | Volum ernational Journal of Trend in Sc Research and Development (IJT International Open Access Journ of 16 bit ALU using Verilog-hd Mr. Mayank Mittal rtment of Electronics and Telecommunication, stitute of Technology Durg, Chhattisgarh building block. mputations and per is based on g VHDL. The VHDL Xilinx Spartan device. ns – Addition, cal shift right, eft, OR, AND, arison between LU) is a that performs represents the tral processing Us contain very ALU performs . Examples of , subtraction, ples of logic All operations ary number i.e. below- Table for different operation- Option 0000 0001 0010 0011 0100 0101 0110 0111 Rotate right 1000 And 1001 Or 1010 Xor 1011 Nor 1100 Nand 1101 xnor 1110 Greater compa 1111 Equal comparis Table 2 c 2017 Page: 114 me - 2 | Issue 1 cientific TSRD) nal dl operation Addition Subtraction Multiplication Not Logical shift left Logical shift right Rotate left arison son 2.1

description

In many digital circuits ALU is a basic building block. It can be used in integer arithmetic computations and as Complex operation. This research paper is based on the simulation of 16 bit ALU using VHDL. The design was implemented using VHDL Xilinx Synthesis tool ISE and targeted for Spartan device. An ALU performs following operations Addition, subtraction, multiplication, Not, logical shift right, logical shift left, rotate right, rotate left, OR, AND, XOR, NAND, NOR, XNOR and comparison between two signals. Mayank Mittal "Simulation of 16 bit ALU using Verilog-hdl" Published in International Journal of Trend in Scientific Research and Development (ijtsrd), ISSN: 2456-6470, Volume-2 | Issue-1 , December 2017, URL: https://www.ijtsrd.com/papers/ijtsrd5876.pdf Paper URL: http://www.ijtsrd.com/engineering/electronics-and-communication-engineering/5876/simulation-of-16-bit-alu-using-verilog-hdl/mayank-mittal

Transcript of Simulation of 16 bit ALU using Verilog-hdl

Page 1: Simulation of 16 bit ALU using Verilog-hdl

@ IJTSRD | Available Online @ www.ijtsrd.com

ISSN No: 2456

InternationalResearch

Simulation of 16 bit ALU using Verilog

Student, Department Bhilai Institute of

ABSTRACT

In many digital circuits ALU is a basic building block. It can be used in integer arithmetic computations and as Complex operation. This research paper is based on the simulation of 16 bit ALU usingdesign was implemented using VHDL Xilinx Synthesis tool ISE and targeted for Spartan device. An ALU performs following operations subtraction, multiplication, Not, logical shift right, logical shift left, rotate right, rotate left, OR, AND, XOR, NAND, NOR, XNOR and comparison between two signals. INTRODUCTION

An arithmetic logic unit (ALU) is a combinational digital electronic circuit that performs arithmetic and bitwise operations. It fundamental building block of the central processing unit (CPU) of a computer. Modern CPUs contain very powerful and complex ALUs. An ALU performs basic arithmetic and logic operations. Examples of arithmetic operations are addition, subtracmultiplication, and division. Examples of logic operations are NOT, AND, and OR. All operations perform in a computer is in form of binary number i.e. 0 or 1. The logical operations are given below

@ IJTSRD | Available Online @ www.ijtsrd.com | Volume – 2 | Issue – 1 | Nov-Dec 2017

ISSN No: 2456 - 6470 | www.ijtsrd.com | Volume

International Journal of Trend in Scientific Research and Development (IJTSRD)

International Open Access Journal

Simulation of 16 bit ALU using Verilog-hdl

Mr. Mayank Mittal epartment of Electronics and Telecommunication,

nstitute of Technology Durg, Chhattisgarh

In many digital circuits ALU is a basic building block. It can be used in integer arithmetic computations and as Complex operation. This research paper is based on

simulation of 16 bit ALU using VHDL. The design was implemented using VHDL Xilinx

and targeted for Spartan device. An ALU performs following operations – Addition, subtraction, multiplication, Not, logical shift right,

t, rotate right, rotate left, OR, AND, XOR, NAND, NOR, XNOR and comparison between

An arithmetic logic unit (ALU) is a electronic circuit that performs

arithmetic and bitwise operations. It represents the fundamental building block of the central processing unit (CPU) of a computer. Modern CPUs contain very powerful and complex ALUs. An ALU performs basic arithmetic and logic operations. Examples of arithmetic operations are addition, subtraction, multiplication, and division. Examples of logic operations are NOT, AND, and OR. All operations perform in a computer is in form of binary number i.e. 0 or 1. The logical operations are given below-

Table for different operation-

Option 0000 0001 0010 0011 0100 0101 0110

0111 Rotate right 1000 And 1001 Or 1010 Xor 1011 Nor 1100 Nand 1101 xnor 1110 Greater comparison 1111 Equal comparison

Table 2.1

Dec 2017 Page: 114

| www.ijtsrd.com | Volume - 2 | Issue – 1

Scientific (IJTSRD)

International Open Access Journal

hdl

operation Addition Subtraction Multiplication Not Logical shift left Logical shift right Rotate left

Greater comparison Equal comparison

Table 2.1

Page 2: Simulation of 16 bit ALU using Verilog-hdl

International Journal of Trend in Scientific Research and Development (IJTSRD) ISSN: 2456-6470

@ IJTSRD | Available Online @ www.ijtsrd.com | Volume – 2 | Issue – 1 | Nov-Dec 2017 Page: 115

RTL schematic of ALU

Figure: 2.1

Figure: 2.2 Result

Verilog hdl implementation of ALU was done using Xilinx ise. Detailed report is given below in table no. 3.1.

Logic utilization used Available utilization

Number of Slices 102 2448 4%

Number of 4 input LUTs

192 4896 3%

Number of bonded IOBs

68 108 62%

Number of MULT18X18SIOs

1 12 8%

Table: 3.1

The time delay and memory usage is given in table no. 3.2

Delay 12.339ns

Memory usage 235436 kilobyte

Table 3.2

CONCLUSION

The simulation of 16 bit ALU is presented. This design is implemented using Verilog hdl and Xilinx ise and targeted for Spartan 3e family. The time delay is 12.339ns and memory usage is 235436 kilobyte.

REFERENCE

1) Suchita Kamble, Prof .N. N. Mhala-VHDL Implementation of 8-Bit ALU IOSR Journal of Electronics and Communication Engineering (IOSRJECE) ISSN : 2278-2834 Volume 1, Issue 1 (May-June 2012), PP 07-11

2) Shikha Khurana , Kanika Kaur- IMPLEMENTATION OF ALU USING FPGA International Journal of Emerging Trends & Technology in Computer Science (IJETTCS) Volume 1, Issue 2, July – August 2012 ISSN 2278-6856

3) Prakash R Tonse, Siddalingesh S. Navalgund-Design, Development and Implementation of ALU, RAM and ROM for 8051 Microcontroller on FPGA using VHDL International Journal of Computer Applications (0975 – 8887) Volume 80 – No1, October 2013