Lma Report 01

download Lma Report 01

of 166

Transcript of Lma Report 01

  • 8/12/2019 Lma Report 01

    1/166

    RESEARCH REPORTS

    2001-2002

  • 8/12/2019 Lma Report 01

    2/166

    2 Laboratory for Manufacturing Automation

    RESEARCH REPORTS2001-2002

    edited by

    Prof. David A. Dornfeld

    Miguel C. Avila

    April 2002

  • 8/12/2019 Lma Report 01

    3/166

    Research Reports 20012002 3

    CONTENTS

    PREFACE ....6

    BIO: PROF. DAVID A. DORNFELD....9

    LMA ON THE WORLD WIDE WEB..10

    MEMBERS OF THE LMA RESEARCH GROUP, 2001-2002...11

    BURR RESEARCH GROUP......14

    CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF)...15

    Comprehensive approach to Burr Prediction

    Amit Bansal...18

    Study of Burr Size and Surface Roughness in High Speed Face Milling

    Amit Bansal and Kiha Lee25

    Tool Path Planning in conjunction with Exit Order SequenceAmit Bansal and Kiha Lee30

    Influence of Work Hardening Effect on the Exit Burr Formation in 2 Consecutive

    Ortogonal CuttingsJihong Choi36

    Optimization and Quality Control in Burr Formation using Design of Experiment (I):

    Face-MillingKiha Lee.39

    Optimization and Quality Control in Burr Formation using Design of Experiment (II):

    Drilling of Intersecting HolesKiha Lee45

    A Study of Surface Roughness in Micro-End-Milling of AluminumKiha Lee48

    Integrated Tool Path Planning and Burr Prediction System for Face MillingPrabhu Ramachandran and Amit Bansal..53

    Probabilistic based Precision Process Planning P4Arvind Rangarajan57

  • 8/12/2019 Lma Report 01

    4/166

    4 Laboratory for Manufacturing Automation

    Optimization of the Face Milling Process: Tool Path and Tool Design Issues, Part 1.

    Algorithm and Tool Path Design

    Arvind Rangarajan.61

    Report on Sandvik Case StudyArvind Rangarajan.69

    A Finite Element Model of Face Milling

    Borlin Shyu74

    Development of a Milling-Burr Control ChartChristian Rosier.79

    PRECISION RESEARCH GROUP 80

    End-point detection in the CMP process: review of current approaches

    Edward I. Hwang...81

    End-point detection in the CMP process: AE Sensor

    Edward I. Hwang ..88

    A Hierarchical Framework for Integration of Fundamental Physical-Chemical Modelingto inform Environmental Decision Making:

    A Case study using the Environmental Value Systems Analysis

    Nikhil Krishnan and Uday Ayyagari 95

    SMART Pad Fabrication for CMP

    Sunghoon Lee and Edward Hwang 100

    Material Removal Regions in Chemical Mechanical Polishing: Coupling Effects of SlurryChemicals, Abrasive Size Distribution and Wafer-Pad Contact Area, Part 1

    Jianfeng Luo 105

    Material Removal Regions in Chemical Mechanical Polishing: Coupling Effects of SlurryChemicals, Abrasive Size Distribution and Wafer-Pad Contact Area, Part 2

    Jianfeng Luo...126

    A Macroscopic Model for CMP

    Zhoujie Mao 138

    ARCHIVAL JOURNAL PUBLICATIONS141

  • 8/12/2019 Lma Report 01

    5/166

    Research Reports 20012002 5

    REFEREED CONFERENCE PROCEEDINGS 151

    NON-REFEREED PUBLICATIONS-INVITED AND CONFERENCE PAPERSREPORTS/EDITED158

    VOLUMES/CHAPTERS IN BOOKS 163

    FURTHER INFORMATION..166

    RESEARCH SPONSORS...166

  • 8/12/2019 Lma Report 01

    6/166

    6 Laboratory for Manufacturing Automation

    PREFACE

    Background The research work in the Laboratory for Manufacturing Automation(LMA) in the Mechanical Engineering Department (ME) (and affiliated with theEngineering Systems Research Center) of the University of California at Berkeley ismotivated by the desire to reduce the uncertainty in the operation of manufacturingprocess so that technologically sophisticated products or components can bemanufactured successfully and competitively. Many recent studies have analyzed thevarious aspects of manufacturing processes, machinery and systems and concluded that,for increased productivity, strong moves towards increased flexibility, precision,integration and computer control are needed. Unfortunately, a production line which ishighly automated is not necessarily also highly flexible or programmable. Most existingautomated machines, such as computer numerically controlled (CNC) machine tools androbotic-based manufacturing and assembly lines require a large effort in task-planning,program development and debugging and control tuning at the time of their installationand production start-up. This problem is compounded by relatively poor adaptability ofthese machines to changes in the production lines or processes, task scheduling andproduct modifications since most machines are designed to perform repetitive tasks for

    high production volumes and are not equipped with sufficient electronic or mechanicalflexibility to detect changing conditions to adapt accordingly. If we add to the desire forincreased flexibility a requirement for manufacturing parts and products that maintaintight dimensional and form tolerances, i.e., precision manufacturing, the need to adapt tovariations in machine performance and tool and workpiece variation is even more critical.

    The inability of these systems to respond to changing conditions and the requirement formore precision in manufacturing are driving much of the research at Berkeley in theLaboratory for Manufacturing Automation. The overall goal of this research program isto reduce the uncertainty in the performance of manufacturing processes and systems.This uncertainty in operation is the source of most errors and in-process failures and isone of the key challenges to successful operation to meet the demands of productiontoday and in the future. Of increasing importance is the development of tools for

    designers to use so that they may assess the impacts of the manufacturing process on thedesign and performance of their products and assemblies. In fact, the successful researchprogram will, at one level, develop predictive process models for control andoptimization of the process and, at a much higher level, wrap these models in software sothat they are easily and effectively utilized by designers. The LMA is collaboratingextensively with industry (through CODEF, for example) and with Professor Paul Wright(also in Mechanical Engineering at Berkeley) in a National Science Foundation fundedproject on Rapid Prototyping that addresses all aspects of the design to fabrication ofprecision products.

    Research Overview The research in the LMA is split into two basic areas reflecting theprojects and interests of the sponsors- precision manufacturing and burr modeling,deburring and edge finishing. Precision manufacturing research has been growing

    steadily due to strong support from the computer peripheral industry (read-write headsand high density storage devices), the semiconductor "chip" manufacturers due to anincreasing need for "planarization" technology in the fabrication of multi-layer devicesand MEMS structures, and the precision component industry (aerospace, disk dives, fuelinjectors). The second area is represented by an industry consortium, CODEF, describedin more detail later in the report. A third, area of interest is pursued in collaboration withProfessor Paul Wright in the Mechanical Engineering Department at Berkeley, on rapidprototyping of mechanical products and internet-based manufacturing - CYBERCUT.Finally, Professor Fiona Doyle of MSME at Berkeley has been contributing to an

  • 8/12/2019 Lma Report 01

    7/166

    Research Reports 20012002 7

    understanding the chemical issues in polishing and CMP. Since the departure ofProfessor Paul Sheng., the LMA is increasing its activities in the are of environmentallyconscious manufacturing, with special emphasis on the semiconductor industry andelectronics manufacturing.

    At the University of California at Berkeley, engineering faculty have been working for a

    long time in a number of areas vital to manufacturing technology. Research at Berkeley isstrongly motivated by modern automation as described above. Research issues listedbelow are all fundamental to both flexible automation and computer integratedmanufacturing:

    process planning, including maximum utilization of manufacturing tools andprocesses for increased productivity, e.g. minimum downtime for maintenance

    automated monitoring and failure detection

    analysis and development of processes with minimal environmental impact

    intelligent sensors (processing of multiple sensor outputs for feature extraction,decision making, and collection of information from processes)

    ability to adapt to variability in materials and processing conditions

    burr formation modeling and deburring

    database, knowledge base and expert systems for design and manufacturingautomation

    integration of new process technology into existing systems at minimum cost

    precision manufacturing

    This research report gives a review of the projects in the laboratory under the supervisionof Professor David Dornfeld. The projects include development of sensing methodologies

    and signal processing for process monitoring and analysis as well as advanced techniquesfor intelligent sensor-based process control. In addition, basic studies on processing

    leading to the development of models for component design and process control areincluded - specially for precision manufacturing. A key aspect of these research projects

    is that the results must be able to be demonstrated and evaluated in the laboratory. Thereis a strong industrial interaction as part of most of the

    research projects.

    Facilities for Research The research undertaken by the faculty and students in theLMA necessitates first rate equipment and laboratory facilities. In this environment,faculty, visiting researchers and graduate researchers can develop, implement and testindividual ideas and cooperative research focusing on the control of machines, sensingand signal processing and machine-to-machine communications. The LMA is equippedwith Matsuura 510V machining center, Mori Seiki NC Drilling machine, Pneumo

  • 8/12/2019 Lma Report 01

    8/166

    8 Laboratory for Manufacturing Automation

    Diamond Turning Lathe and Kugler Ultra Precision Milling machine. In addition, aninstrumented laboratory scale polishing/CMP machine and a modified polishing tableequipped with fluid coupled AE sensors and a z-axis force dynamometer for tape/slurrytexturing and burnishing is available. A variety of conventional machine tools are alsoavailable for research (cylindrical and surface grinders, for example). Instrumentation and

    computers for advanced signal acquisition and processing are available including an arrayof computers for data collection and analysis (PCs, RISC 6000 workstations) terminalson the Ethernet, MAC, Hewlett-Packard high speed data acquisition system and a varietyof sensors (force/torque, current, laser profilometer and acoustic emission) and highspeed AD/DA interface and digital data sampling hardware. Metrology hardware forsurface characterization (Zygo and Talysurf 10 with computer interface) and scanningelectron microscope with powered in-situ machining stage, HP laser interferometer areavailable. A full scale metallography laboratory for polishing and macro-examination ofspecimens is available. The LMA has joint projects with the Micrfolab in EECS and hasaccess to those facilities as well. We also have a micromachining center coordinated withProfessor H. Kazeroonis lab at the Richmond Field Station. A Consortium on Deburring

    and Edge Finishing (CODEF) has been established within the LMA to investigate burrformation mechanisms in various machining operations, to develop design guidelines tominimize the occurrence of burrs, and to develop advanced automated burr detection anddeburring techniques. This consortium is described further in the following pages of thissection. A consortium to support precision manufacturing research is under developmentwith strong emphasis on semiconductor manufacturing/chemical polishing.

  • 8/12/2019 Lma Report 01

    9/166

    Research Reports 20012002 9

    David A. Dornfeld

    David Dornfeld received his B.S., M.S. and Ph.D. degrees in Mechanical Engineeringfrom the University of Wisconsin-Madison in 1976 in the area of ProductionEngineering. His Ph.D. thesis concerned the study of the fundamentals of the mechanical

    pulping process (abrasive machining). He joined the faculty of the University ofCalifornia at Berkeley in the Mechanical Engineering Department in 1977 and ispresently Professor of Manufacturing Engineering. Since July 1, 1999 he holds the firstWill C. Hall Family Chair in Engineering. He is past-Director of the EngineeringSystems Research Center in the College of Engineering. In 1982 and 1992 he wasDirecteur de Recherche Associe, Ecole Nationale Superieure des Mines de Paris, Parisand Invited Professor, Ecole Nationale Superieure d'Arts et Metiers- ENSAM, Paris,respectively.

    Dr. Dornfeld's research activities are in several fields of manufacturing engineering andflexible automation: acoustic emission monitoring and analysis of manufacturing

    processes; burr formation and edge finishing (leads an industry consortium supportingwork in this area); precision manufacturing; green manufacturing; and intelligent sensors

    and signal processing for process monitoring and optimization. He has published over200 papers in these fields, contributed chapters to several books and has four patents

    based on his research work. He is a consultant on sensors, manufacturing productivityand automation and process modeling and the associated intellectual property issues.

    Professor Dornfeld is a Fellow and an active member of the American Society ofMechanical Engineers (ASME), contributing to the technical programs and journals of

    the society. He is the past Technical Editor, Trans. ASME, Journal of Engineering forIndustry. He was the recipient of the ASME Blackall Machine Tool and Gage Award in

    1986. He is a Fellow and past-Director of the Society of Manufacturing Engineers

    (SME), member of Japan Society of Precision Engineering (JSPE), American Society ofPrecision Engineering (ASPE) and the U.S. Acoustic Emission Working Group (AEWG).He is past-President of the Board of Directors and a member of the Scientific Committee,

    North American Manufacturing Research Institute (NAMRI/SME). He is an ActiveMember of the CIRP (International Institution for Production Engineering Research)

    where he served as co-chair of the Working Group on Tool Condition Monitoring and isChair of the Scientific Technical Committee on Cutting.

  • 8/12/2019 Lma Report 01

    10/166

    10 Laboratory for Manufacturing Automation

    Visit the LMA on the World Wide Web

    http://LMA.Berkeley.edu

  • 8/12/2019 Lma Report 01

    11/166

    Research Reports 20012002 11

    MEMBERS OF THE LMA RESEARCH GROUP, 2001-2002

    Postdoctoral Researchers

    Graduate Students

    Name Academic Background Field of Interest

    Sangkee MinB.S. 1991, Yonsei University, KoreaM.S. 1993, Yonsei University, KoreaPh.D. 2002, UC Berkeley

    FEM, Burr minimization,Maufacturing.

    Miguel AvilaDiploma in M.E. 2000, Central University ofVenezuela, Caracas.

    Manufacturing Technology.

    UdayakiranAyyagari

    B. S. 2001, IIT, Madras, India.Green Manufacturing and

    Design, Semiconductor

    Manufacturing Processes.

    Amit Bansal B. Tech. 2000, IIT, Madras, India. Manufacturing Technology.

    Peter BroomesB.E. in Mechaincal Engineering

    Stevens Institute of Technology

    Green Manufacturing, ProcessModeling.

    Andrew ChangB. S. 1995, UC BerkeleyM.S. 1997, UC Berkeley

    CMP, Precision Manufacturing.

    Jihong Choi B.S. 2001 Yonsei University, Korea Burr minimization, FEA.

    Edward HwangB. S. 1997, Seoul Natl Univ. KoreaM. S. 1999, Seoul Natl Univ. Korea

    CMP, MEMS, PrecisionManufacturing

    Christian Keppeler B. S. 2000 Georgia Institute of Technology CMP pad design.

    Nikhil Krishnan B. Tech 1997, IIT, Madras, IndiaM.S. 1999 UC Berkeley CMP, Green Manufacturing.

    Kiha LeeB.S. 1997, Yonsei University, KoreaM.S. 1999, Seoul Natl Univ. Korea

    Burr Formation in Micro-Machining.

    Sunghoon LeeB. S. Pusan National University, Korea

    M. S. Pusan National University, KoreaCMP, IC fabrication.

    Jianfeng LuoB. S. 1997, Tsinghua University, ChinaM. S. 1998, University of Cincinnati

    CMP, Precision Manufacturing.

    Zhoujie MaoB. S. 1992, Dalian Univ. of Tech, ChinaM. S. 1995, Tsinghua University, China

    CMP, Precision Manufacturing.

    Eric Masanet

    B.S. 1994 University of Wisconsin

    M.S.1996 Northwestern University

    Green Manufacturing and

    Design, Electronics Industry.

    Arvind RangarajanB. Tech.1999, IIT, Madras, IndiaM.S. 2001, UC Berkeley

    CAD/CAM.

    PrabhuRamachandran

    B. Tech 2001, IIT, Madras, India CAD/CAM.

    Joaquin RosalesB.S. 2001, nstituto Tecnologico y de Estudios

    Superiores de Monterrey, Monterrey, Mexico

    Green Manufacturing, Thermal

    Finite Element Methods.

    Bor-Lin ShyuB.S. 1987 Natl Taiwan Univ. TaiwanM.S. 1991, Natl Taiwan Univ. Taiwan

    FEM, Manufacturing.

  • 8/12/2019 Lma Report 01

    12/166

    12 Laboratory for Manufacturing Automation

    Visiting Scholars

    Arnaud De GraveAgregation in M.E. 1999, ParisMasters Degree Ind. Eng. 2000, Grenoble

    Concurrent Engineering,

    Integrated Design,

    Micro-Machining, MEMS

    Carlos Magno deOliveira

    B.S 1996, University of Sao Paulo, BrazilM.S. 1999, University of Sao Paulo, Brazil

    Machining processes, Monitoring

    systems, Data communication,

    Robotics, Artificial Intelligence.

    Holger HeupsDiploma in M.E. 2002, Univ.of Tech.Aachen, Germany

    Manufacturing Technology.

    Haedo JeongB.S 1987 Pusan National University, Korea

    M.S 1989 KAIST

    Ph.D 1994 University of Tokyo

    Grinding, CMP, Micro Machining,

    Rapid Prototyping.

    Paul Junkermann Vordiplom 1998 RWTH Aachen FEA of SPDT.

    Christian RosierDiploma in M.E. 2002, Univ.of Tech.

    Aachen, GermanyManufacturing Technology.

  • 8/12/2019 Lma Report 01

    13/166

    Research Reports 20012002 13

    Members of the LMA Research Group, 2001-2002

    Back row: Kiha Lee, Christian Keppeler, Prof. David Dornfeld, Jianfeng Luo, PeterBroomes, Zhoujie Mao, Udayakiran Ayyagari, Prahbu Ramachandran.

    Middle row: Nikhil Krishnan, Andrew Chang, Miguel Avila, Bor-Lin Shyu, JoaquinRosales, Sunghoon Lee.

    Front row:Jihong Choi, Christian Rosier, Sangkee Min, Arvind Rangarajan, EdwardHwang.

    Missing in photo: Amit Bansal, Haedo Jeong, Holger Heups, Arnaud De Grave, PaulJunkermann, Calos Magno de Oliveira, Eric Masanet.

  • 8/12/2019 Lma Report 01

    14/166

    14 Laboratory for Manufacturing Automation

    BURR RESEARCH GROUP

    Sponsored by

    CODEF Members of Researchers

    Miguel Avila

    Amit Bansal

    Jihong Choi

    Holger Heups

    Kiha LeeSangkee Min

    Prahbu Ramachandran

    Arvind Rangarajan

    Christian Rosier

    Borlin Shyu

    List of CODEF Sponsors

    Boeing

    Caterpillar, Inc.

    Daimler-Chrysler GmbH

    Ford Motor Company

    Hibbit, Karlsson & Sorensen, Inc.National Science Foundation

    AB Sandvik Coromat

    Industrial Affiliates of the LMA

  • 8/12/2019 Lma Report 01

    15/166

    Research Reports 20012002 15

    CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF)

    Professor David Dornfeld, Director

    Laboratory for Manufacturing Automation5100A Etcheverry Hall

    University of CaliforniaBerkeley, CA 94720-1740 USA

    (510) 642-0906Fax: (510) 643-7463

    E-mail: [email protected]

    BACKGROUND

    The CONSORTIUM ON DEBURRING AND EDGE FINISHING (CODEF) wasestablished to address problems related to prediction and modeling of burr and edgebreakout phenomena, development of a data base (or knowledge base) of best burravoidance and removal techniques from industry, development of a computer aideddesign (CAD) advisor (a burr expert) for designers and process planners, developmentof strategies for deburring cell level process feedback and control and identification anddevelopment of advanced deburring technologies. Although all manufacturingprocesses are of interest in this program, of special interest is those processes forprecision manufacturing. The program also provides a forum for the discussion ofstandards and terminology associated with the field.

    The CODEF is designed to be "industry driven" in the sense that the participation ofindustry in the identification of specific research objectives and applications forevaluation of results of research is aggressively sought. Industry sponsorship of theCODEF is also sought although there are opportunities for federal agency funding of

    basic research in this area (NSF University Industry Cooperative Research program, forexample) or focused application research (NSF/ARPA Machine Tool AgileManufacturing Research Institute at Illinois, for example). However, the quality andusefulness of the research and the potential for program support are dependent on theability to link effectively with industry. The products of the consortium, in addition to theforum for discussion of challenges in this area, are:

    software (CAD burr expert, for example)

    database of burr minimization and deburring knowledge

    burr formation models

    applications oriented solutions to deburring and edge finishing problems deburring hardware/strategy evaluation

  • 8/12/2019 Lma Report 01

    16/166

    16 Laboratory for Manufacturing Automation

    engineers trained in burr minimization and deburring techniques

    There may be additional products of the consortium as it develops in response to specificrequests and interests of the members. The basic objective of the CODEF is to provide achannel for the transition of basic research on burr/breakout formation modeling and

    deburring techniques into software and systems for industry to use to solve realmanufacturing problems. To accomplish this objective the consortium activities must be

    strongly linked to industry which means the active participation of industry.

    CONSORTIUM PARTICIPATION

    To accomplish this linkage (in both directions- industry advisors for the consortium and

    research results and technology introduced in industry) membership will be solicited inthe consortium according to the following format:

    Membership levels are established at two levels, Observer and Member, as

    follows:

    Observer- this level of participation allows the company to send arepresentative to the annual review meeting of the CODEF, receive pre-publication copies of all CODEF research reports, and priority access tofaculty student researchers in the LMA; a fee of $15,000/year with athree year commitment (preferred) is required for participation at theObserver level.

    Member- this level of participation includes the benefits of the Observermembership level plus participation in the CODEF advisory board(determining research priorities and directions for software andhardware development), the right to provide a "prototype part" forevaluation of developing burr minimization and deburring strategies,access to software for evaluation in your facility, assistance in licensingsoftware from the University of California for use, visit to yourcompany by CODEF researcher, opportunity for industry researcher tovisit LMA for several days; a fee of $30,000/year with a three yearcommitment (preferred) is required for participation at the Memberlevel.

    (Note: The fees for these two levels of participation are paid as gifts and, thus,incur no overhead charges.)

    Additional, specific, research projects can be arranged in the CODEF beyond the

    two levels of participation outlined above. Industrial Research Fellows from CODEF member companies can spend

    extended periods of time (for example, one year) on site working on a specificresearch project and participating in the activities of CODEF; there is anadditional fee of $35,000 per year to cover the cost of hosting the individual-office space, copying, telephone, facsimile machine, etc.

    Advisory Board- CODEF will have an informal advisory board comprised of

  • 8/12/2019 Lma Report 01

    17/166

    Research Reports 20012002 17

    Member-level participants, representatives of faculty researchers, and, asappropriate, selected outside members.

    Short courses and special seminars on burr minimization, deburring or othertopics are also offered.

    Free access to burrExpert.com website for information, problem-solving andresource referral

  • 8/12/2019 Lma Report 01

    18/166

    18 Laboratory for Manufacturing Automation

    Comprehensive approach to Burr Prediction

    Amit BansalSponsored by CODEF

    Abstract The focus of this research is to modify and expand the currentalgorithm for Exit Order Sequence (EOS) scheme, a very reliable burrprediction tool for face milling operation. Given the complexity of thecalculations involved and lack of analytical solutions, the new algorithmtakes a more general approach by tessellating the curved edges into smallstraight edges. With this approach the algorithm is applicable to any givenpart geometry and at the same time to any given tool-path (NC or CNC)for that part geometry. A fully interactive graphical user interface (GUI)has been implemented with built-in solid geometric viewer. A burr size

    database has also been developed, which quantifies the burr size based onthe EOS.

    Keywords: burr prediction, exit order sequence, face milling, graphic userinterface.

    1. Exit Order Sequence [1]

    During the face milling process, orientation of the material being pushed out or broken

    (depending on ductility of the material) is determined by feed direction, insert geometryand workpiece edge orientation. Order in which the three points A, B and C on the toolinsert come out of the workpiece edge determines the burr size (Figures 1(a) and 1(b)). Inother words orientation of cutting edge plays an important role in burr formation whilethe tool exits the workpiece edge.

    insert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    insert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    Machined surfaceinsert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    insert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    insert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    insert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    insert

    major cutting

    edge

    minor cuttingedge

    A B

    C

    Machined surface

    Figure 1(a). Points A, B and C on tool insert.

  • 8/12/2019 Lma Report 01

    19/166

    Research Reports 20012002 19

    Figure 1(b). Exit Order Sequence

    Calculation of location of points A, B and C on the insert with respect to tool centerrequires axial rake angle (a), radial rake angle (b), tool lead angle (g), feed per tooth (F),face cutting edge angle (F) and depth of cut (d) (Figure 2).

    OB= ( r.cosu, r.sinu, 0)

    OA= (r.cosu- |F.cosu|.cosu+ |F.cosu|.sinu.tanb, r.sinu- |F.cosu|.sinu-

    F.cosu|.cosu.tanb, |F.cosu|.tanF)

    OC= (r.cosu- d.tana.sinu+ d.cotg.cosu, r.sinu+ d.cotg.sinu+ d.tana.cosu, d)

    Figure 2. Geometric location of points A, B and C.

    2. Tessellation

    As shown above, the equations for determining the points A, B and C are very complex

    and given curves and splines present in the tool path and work piece geometry, thecalculation becomes so much complicated that an analytical solution is not feasible.Previous work by Kumar[1] is limited to straight lines for both part geometry and toolpath. Current algorithm uses tessellation to solve this problem and is applicable to anytype of curve geometry.

    A

    B

    C

    B

    B

    C

    CAB

    AA

    B

    ABC

    A

    B

    C

    BAC ACB

    A

    B

    C

    A

    B

    C

    BCA

    A

    B

    C

    CBA

    Burr Size increases

    Z

    Y

    XuEY

    EX

    A

    C

    B

    F

    a

    g

    EZ

    o O A BC

  • 8/12/2019 Lma Report 01

    20/166

    20 Laboratory for Manufacturing Automation

    In the process of tessellation, any geometry (an edge in this case) is discretized into smallgeometric entities. The precision of tessellation can be set depending on the partcomplexity. Coordinates of all the newly created edges are stored and new straight lineedges are created joining them in that order to get new loops. These loops are furtherswept to 3D bodies and the bodies belonging to inner loops are subtracted from the body

    created for big outer loop to get the final tessellated body (Figure3). A similar attempt ismade for the tool path also. Flow chart for tessellation process is shown in Figure 4.

    EOS prediction scheme is then applied to this newly created body for the newly createdtool path. Figure 5 shows a comparison between the results produced with and with outtessellation. It is clear that the prediction system produces very arbitrary results forcurved edges with out tessellation.

    Figure 3. Part before and after tessellation (precision of tessellation has been decreasedfor clarity).

  • 8/12/2019 Lma Report 01

    21/166

    Research Reports 20012002 21

    Figure 4. Flow chart for tessellation algorithm.

    Input Part/ Tool Path

    Break in to St. Edges

    Store Edges in a Linked List

    Check for curved edges in theloop

    Store Loops in a Linked List

    Sweep Loop to Body

    Subtract inner bodies fromOuter Body

    If NOT Endof Loop List

    Tessellated Body

    Tessellated Tool Path

    Yes

    No

  • 8/12/2019 Lma Report 01

    22/166

    22 Laboratory for Manufacturing Automation

    Figure 5. EOS algorithm applied to the part before and after tessellation

    3. Graphic User Interface (GUI)

    A fully interactive GUI has been developed with an inbuilt solid geometric viewer. ThisGUI has been developed for the Windows operating system for its wide usage and userfriendliness. Microsoft Visual C++ is used as the development tool with ACIS solidgeometric modeler 6.3. This interface provides user to open a particular part file in *.satformat. A tool path parser is also provided which facilitates direct input of the tool path inNC/CNC format with minimum user modifications. An input panel feeds all initialgeometric and cutting parameters e.g. various angles, feed, tool radius etc to the softwareas shown in Figure 6.

    The software executes the EOS algorithm and saves the tessellated body and the finalresults showing various EOS on the part geometry along with tool path in the currentdirectory in *.sat format and opens the latter in the viewer. A snapshot of the softwareapplication has been shown in Figure 7. An error log is also created informing the user

    ABC BAC ACB BCA CAB CBA

    Tool Path

  • 8/12/2019 Lma Report 01

    23/166

    Research Reports 20012002 23

    about various errors occurred during the execution of the algorithm so that user can makeappropriate modifications, mainly to the tool path.

    Apart from executing the EOS algorithm the software provide all the necessary featuresof a solid geometric modeler viz.zooming, panning, various views etc.

    Figure 6. Dialog box to input parameters.

    Figure 7. A snapshot of the software GUI, showing a part and resultant EOS.

  • 8/12/2019 Lma Report 01

    24/166

    24 Laboratory for Manufacturing Automation

    4. Quantification of burr size

    A burr size database has been created based on the various experiments done at Daimler

    Chrysler AG, Germany. These experiments were performed on four different materials

    AlSi7Mg, AlSi10Mg and Mg(AS21), Mg(AS41) in order to understand the effect of

    material properties on exit order sequence. These materials were tested for various

    combinations of tool geometries (rake and lead angles) and cutting parameters (speed,

    feed rate and depth of cut). The results matched with respective theoretical values to an

    extent. These experiments also bolstered the fact that material ductility is the most

    important material property affecting burr size [1].

    The user selects the work piece material from a pull down menu. Currently efforts arebeing made to include more materials into the database and also find some burr sizecorrelation between various alloys of a materials, which have more than one constitutingelements in common. In case the user does not find the material he or she is looking for, a

    help file is provided which carries information on various material properties. The user isencouraged to choose a material which matches the one used most often.

    The database is created in Microsoft Access and is connected to the software throughdatabase integration tools provided by Microsoft Foundation Classes (MFC). Thematerial information with various EOS present in the work piece is forwarded to thedatabase and appropriate information is retrieved and displayed to the user. The databaseprovides a mean value as well as a burr size interval where the value is most likely to fall.Building of data display is still under development at this time

    References

    [1] Kumar, S., Basic Model for Burr Prediction System, Masters Dissertation 2001,

    UC Berkeley

    [2] Narayanaswami, R. and Dornfeld, D.A., Burr Minimization in face milling a

    geometric approach, ASME Journal Of Manufacturing Science and Enginnering,

    Vol.119, May 1997, pp.170-177.

    [3] Gillespie, L.K. and Blotter, P.T., The Formation and Properties of Machining

    Burr,ASME Journal of Engineering for Industry, Vol. 98, No. 1, Feb. 1976, pp.

    66-74.

    [4] Hashimura, M. and Dornfeld, D.A., Analysis of burr formation mechanism in

    machining process, 1st

    International Machining and Grinding Conference, Sep.

    1995, Dearborn, Michigan, SME.

  • 8/12/2019 Lma Report 01

    25/166

    Research Reports 20012002 25

    Study of Burr Size and Surface Roughness in High Speed Face Milling

    Amit Bansal and Kiha LeeSponsored by CODEF and NSF

    Abstract Due to rapid growth and competitiveness of the automobileindustry, reduction in total machining time has become a basic necessity

    and many industries are switching to high speed machining. This studypresents the results of tests done with a high speed face-milling machine

    tool at Daimler-Chrysler, Germany. Experiments were performed on fourdifferent materials for various combinations of tool geometries (rake and

    lead angles) and cutting parameters (speed, feed rate and depth of cut). Asubstantial amount of experimental data was collected regarding the burr

    formation and surface roughness for aluminum and magnesium alloys. Asoftware tool for theoretical exit order sequence (EOS) prediction was also

    developed in JAVA for verifying the experimental results.

    Keywords: Aluminum, burr formation, exit order sequence, high-speedface milling, magnesium.

    1. Introduction

    Figure 1 shows the basic burr formation mechanism in face milling. Exit order sequence(EOS) ranks the burr size into various categories based on how three points A, B and Con tool insert exit the work piece edge. This order determines the chip flow angle at tool

    exit. Six different EOSs, ABC, BAC, ACB, BCA, CAB and CBA are possible, in theorder of increasing burr size.

    Figure 1. Milling burr formation mechanism.

  • 8/12/2019 Lma Report 01

    26/166

    26 Laboratory for Manufacturing Automation

    2. Simulation Tool for Exit Order Sequence

    A software tool was developed in JAVA programming language for reference to thetheoretically predicted exit order sequence. A snapshot of this software is shown in

    Figure 2. This tool provides the user with the flexibility of changing various geometricand cutting parameters to obtain resultant exit order sequence. Different tool exitscenarios have been implemented: tool exiting perpendicular to workpiece, tool movingalong the workpiece edge, etc. This tool is available as a JAVA applet on the LMAwebsite and http://www.burrExpert.com.

    Figure 2. Software tool for Exit Order Sequence Prediction.

    3. Experimental Setup

    Four different materials AlSi7Mg, AlSi10Mg and Mg(AS21), Mg(AS41) were used forthe experiments to see the impact of material properties. Uncoated carbide inserts wereused for the experiments. Also, a single insert was used in the tool for the experiments to

    prevent the influence of variation in inserts due to run-out. Figure 3 shows the tool holdercarrying four inserts and various tool angles.

  • 8/12/2019 Lma Report 01

    27/166

    Research Reports 20012002 27

    Figure 3. Tool Holder showing various tool angles.

    4. Experimental Results

    Figure 4 shows the results of surface roughness and burr thickness for AlSi7Mg material.Since it has been shown previously that burr height is linearly proportional to burrthickness, even though burr height was measured; only burr thickness results are shownin this report. Nine different tools and 6 combinations of cutting parameters were used asshown in Figure 4. For example, (-6, 6) represents an axial rake angle of 6and a radialrake of 6. Lead angle was fixed at 90. A cutting parameter combination (20000, 0.14,0.86) means that cutting speed is 20000 rpm, feed 0.14 mm/rev, and depth of cut0.86mm.

    Figure 4. Surface roughness (left) and average burr thickness (right) for AlSi7Mg forvarious tool geometries and cutting conditions.

    Based on the results it was found that high speed milling results in small burrs. Also thesurface quality at high speeds and low feed is better. A positive axial rake angle andnegative radial rake angle is a better choice for small burr size. (6,-6) is good tradeoffbetween burr size and surface quality. Tests were extended to 95lead angle and also for

    Radial Rake Angle, bAxial Rake Angle, a

    Lead Angle, g

  • 8/12/2019 Lma Report 01

    28/166

    28 Laboratory for Manufacturing Automation

    (a, -a) type tool for various values of a rake angles. These results are not shown here but(a, -a) combination in general produces small burrs. No major differences were observedbetween results for 90and 95lead angles.

    Tool (-6, -6) showed very aberrant behavior. Unbelievably small burrs were noticed eventhough theoretical burr prediction gives very high burr size. However the combination

    produces very bad surface quality as shown in figure 5. A possible explanation could beback cutting and rubbing of the work piece surface due to negative rake angles. Toolwear was also very high.

    Figure 5. Exit condition for tool (6, -6)

    Similar experiments were performed on AlSi10Mg material, which is more brittle thanAlSi7Mg. The results for surface roughness are similar to that of AlSi7Mg as shown inFigure 6. It was found that AlSi10Mg has small burr thickness for low feed and highdepth of cut while AlSi7Mg has the same for the reverse. Tool angle combination (6, -6,90/95) produces small burr in general, and AlSi10Mg especially seems to follow thistrend more closely. The burr size for AlSi10Mg is smaller than that of AlSi7Mg, becauseAlSi7Mg is slightly more ductile.

    Figure 6: Comparison of Surface roughness (left) and average burr thickness (right) forAlSi7Mg and AlSi10Mg for various tool geometries and cutting conditions.

    The results of surface roughness and burr thickness for two magnesium materials areshown in Figure 7. Depth of cut is important factor for burr formation in magnesium. (6,-6, 95) is best insert angle combination for getting small burr size. For ductile material,

    Feed

  • 8/12/2019 Lma Report 01

    29/166

    Research Reports 20012002 29

    effect of lead angle is important. Low speed (3000 compared to 5000 rpm) producesbetter surface quality.

    Figure 7: Surface roughness (left) and average burr thickness (right) for Mg(AS21) andMg(AS41) for various tool geometries and cutting conditions

    5. Conclusions

    It was found that inserts with positive axial rake and negative radial rake angles result ina good trade-off between small burr size and good surface quality. For small burr size and

    good surface quality, high cutting speed is preferable for aluminum and low formagnesium. Exit order sequence applies appreciably for ductile material than brittle

    though the comparison is not presented in this report.

    6. Future Work

    Currently work is underway for constructing a Milling Burr Expert System, an internet-

    based expert database reference system which can be used for choosing suitable toolgeometry and cutting parameters. Also there is a need to extend the experimental work to

    more tool geometries and cutting parameters for AlSi10 and magnesium materials.

  • 8/12/2019 Lma Report 01

    30/166

    30 Laboratory for Manufacturing Automation

    Tool Path Planning in conjunction with Exit Order Sequence

    Amit Bansal and Kiha LeeSponsored by CODEF

    AbstractThe purpose of this research is to bring out the advantages of

    applying tool path planning in conjunction with exit order sequence (EOS)to minimize burr formation in face milling. While tool path planning

    avoids exit burr condition in high priority regions, EOS can helpdetermine appropriate tool geometry and in plane exit angle for smaller

    burr size in exit regions. Tests were performed on engine blocks from anautomotive production line and results were very encouraging.

    Keywords: Burr formation, exit order sequence, milling, tool path.

    1. Introduction

    Tool exits occur only under certain circumstances for a 2D polygonal contour in planarmilling. Three distinct tool exit conditions are observed, (a) tool pushing into theworkpiece, (b) tool moving along an edge, and (c) tool encountering an adjacent edge, asshown in Figure 1. The figure shows the top view of the tool moving on the machinedsurface. Corresponding methods have been developed for each condition [2, 3]. Forexample, tool exits occur only at one point when the tool enters the workpiece along acircular arc with the tool radius centered at the point p (see Figure 2a). After entering theworkpiece, the tool starts removing the workpiece material along an edge. Down milling

    will not cause tool exits in this case, as shown in Figure 2(b).

    WPWP

    (a) (b) (c)

    p

    tool

    tool exit tool exit

    tool

    WP

    tool exit

    Figure 1. Three tool exit conditions: (a) Tool enters the workpiece along a straight line.

    (b) Tool moves along an edge. (c) Tool encounters an adjacent edge.

  • 8/12/2019 Lma Report 01

    31/166

    Research Reports 20012002 31

    This paper presents the results of the tool path planning in conjunction with Exit OrderSequence (EOS) tools. Motivation of this study is to use both simultaneously in order to

    minimize burr formation for oil filter flange and motor flange on an engine block fromthe prouction line at DaimlerChrysler, Germany. Using the tool path planning scheme,

    most tool exit regions can be avoided, specially for high priority regions. However, ingeneral, it is not possible to avoid tool exit completely. For these regions, burr size can be

    minimized using EOS tools. As predicted by EOS theory, burr formation dependsprimarily on tool geometry and cutting parameters [4], therefore by selecting a suitable

    set of tool geometry and cutting parameters, burr size can be reduced to some extent.Sometimes, due to shoulder, fixturing and other machining constraints, tool path cannot

    be modified to avoid tool exit, in this case, EOS can minimize the burr size.

    p

    (a) (b)

    WPWP

    Figure 2. (a) Tool enters a workpiece along a circular arc without tool exits.

    (b) Down milling does not cause tool exits.

    2. Experimental Setup

    Figure 3 shows the first workpiece, an oil filter flange. Priorities can be used todistinguish regions that are critical in terms of burr formation because of functionalimportance, safety issues and/or stringent tolerance specifications. The pocket shownwith priority marked very high transports high-pressure oil, so burr formation has to beavoided at all costs. The pockets marked with priorities high carry water at high pressureand burr formation has to be minimized. For the other profiles minimizing burr formation

    is an advantage but not a necessity. A typical part machined by a high speed Ex-Cell-Omachine is shown in Figure 3(b).

  • 8/12/2019 Lma Report 01

    32/166

    32 Laboratory for Manufacturing Automation

    (a) (b)

    Figure 3. (a) Sketch of Oil filter flange showing priorities and (b) real part.

    3. Experimental Results

    Figure 4a shows a conventional tool path, which produces a very large tool exit area. To

    avoid tool exit area in high priority regions, tool path shown in Figure 4b was developedand a tool inserts with 0

    oaxial rake angle and 0

    oradial rake angle or (0, 0) were used for

    machining. Even with this tool path, there were still few tool exit areas in high priorityregions, which was unacceptable. To avoid these tool exit areas, a new tool path was

    developed, Figure 4c. Only a very small region resulted in tool exit. For these tool exitregions, burr formation was minimized using EOS tools. A new tool (6, -6) was used for

    the new tool path as it produces small burr size as predicted by EOS scheme. Figure 5shows the comparison of burr size in some exit regions. Comparison of cutting conditions

    and results for different tool paths can be seen in Table 1. Though machining timeincreased slightly, it was in the acceptable range.

    (a)

    (b)

    (a) (b) (c)

    Figure 4. (a) Conventional tool path, (b) Optimized (Old) and (c) Optimized (New).

    Very High

    High

    Medium

    Low

    Very High

    High

    Medium

    Low

  • 8/12/2019 Lma Report 01

    33/166

    Research Reports 20012002 33

    Figure 5. Burr Size comparison based on tool paths (b) and (c) in Figure 4.

    Milling parameters Conventional OptimizedOld

    Optimized

    New

    Milling Cutter 40 40 40Number of inserts 3 3 3

    Cutting Speed m/min 1508 2000 2000Rotation Speed rev/min 12000 15924 15924Feed per Revolution [f] 0.12 0.15 0,15

    Feed Rate [mm/min] 4320 7165.8 7165,8Length of Machining [mm] 355.0 555.7 622.7

    Total machining time [s] 4.9 4.7 5.3

    Table 1. Comparison of cutting conditions for different tool paths for oil filter flange.

    The same scheme was applied to the motor flange, shown in figure 6a. Figure 6b showsthe conventional tool path. A fixturing constraint exists for this part on the left side (not

    shown in the figure). A tool path was proposed earlier (Figure 7a) to avoid exit burrcompletely. However, this tool path does not take the fixturing constraint into account.

    For this area, tool exit is unavoidable. And also, the total machining time was far fromthe acceptable range due to its complexity. To reduce the processing time and avoid

    workpiece constraint and exit burr in high priority region, a new tool path was developed

    as shown in Figure 7b. EOS prediction scheme was used for reducing burr size in fixtureconstrained region. As predicted by EOS scheme, a low in-plane exit angle was used forthis region (tool center away from the workpiece). Again (6, -6) tool was used for burr

    size reduction in exit regions. A comparison of the burr size for tool (0, 0) and (6, -6) isshown in Figure 8. An encouraging 87% reduction in burr height was achieved with the

    new tool. Comparison of cutting conditions and results for different tool paths can beseen in Table 2. Machining time was reduced significantly and was with in acceptable

    range.

    OLD NEW

    OLD

    NEWOLD NEW

  • 8/12/2019 Lma Report 01

    34/166

    34 Laboratory for Manufacturing Automation

    (a) (b)

    Figure 6. (a) Motor flange workpiece. (b) Conventional tool path.

    (a) (b)

    Figure 7. (a) Optimized tool (proposed earlier). (b) Newly optimized.

    Figure 8. Comparison between burr size as produced by tools (0, 0) and (6, -6).

    =0, =0, CBA

    =6, = 6, BAC

    87% reduction in average Burr Height

  • 8/12/2019 Lma Report 01

    35/166

    Research Reports 20012002 35

    Milling parameters Conventional OptimizedOld

    Optimized

    New

    Milling Cutter 100 40 40Number of teeth 7 3 3

    Cutting Speed m/min 2198 1884 1884Rotation Speed U/min 7000 15000 15000Feed per Revolution [f] 0.1 0.15 0.15Feed Rate [mm/min] 4900 6750 6750Length of Machining [mm] 222.7 888.2 434.9Total machining time [s] 2.72 7.8 3.87

    Table 2. Comparison of cutting conditions for different tool paths for motor flange.

    References

    [1] Chu, C.H. and Dornfeld, D.A., Tool Path Planning for Exit Burr Minimization by

    Estimating the Total Length of Primary Burrs, submitted for publication,International Journal of Computer Integrated Manufacturing, 1999.

    [2] Chu, C.H. and Dornfeld, D.A., Tool Path Planning for Avoiding Exit Burr,submitted for publication, Journal of Manufacturing Processes, 1999.

    [3] Rangarajan, A., Priority Based Tool Path Planning for Face Milling, MastersDissertation, UC Berkeley, 2001.

    [4] Kumar, S., Basic Approach to Face Milling Burr Formation and Prediction System,Masters Dissertation, UC Berkeley, 2001.

    [5] Bansal, A. and Lee, K., Study of Burr Size and Surface Roughness in High SpeedFace Milling, LMA reports 2002.

  • 8/12/2019 Lma Report 01

    36/166

    36 Laboratory for Manufacturing Automation

    Influence of Work Hardening Effect on the Exit Burr Formation

    in Two Consecutive Orthogonal Cuttings

    Jihong ChoiSponsored by CODEF

    AbstractIn an orthogonal cutting which consist of two consecutivecutting process, the work-hardening effect induced by the first process is

    expected to have an influence on the final burr formation. By finiteelement simulation and experiment, the optimal depth of cut of the first

    cut for a minimal final exit burr size will be obtained.

    Keywords:exit burr formation, finite element method, orthogonal cutting.

    1. Prior research and background

    Park [1] divided the burr formation process in orthogonal cutting largely into four stages:

    initiation, initial development, pivoting point, and final development. First, beforeinitiation of pivoting point, there is no plastically deformed region in the exit boundary

    (Figure 1(a)). A plastically deformed region appears on the unconstrained boundary C-Dand expands in the initiation and initial development stages (Figure 1(b)). In the pivoting

    point stage, the point where material instability on the unconstrained boundary C-Doccurs due to necking phenomenon (Figure 1(c)). Work hardening effect is expected due

    to this necking phenomenon in the pivoting point region. In an orthogonal cutting processconsisting of several consecutive cuts, this work hardening effect of the pivoting point

    region in the formal cutting process is expected to have some influence to the burrformation in the next cutting process. The objective of this study is to investigate the

    relation between the first cutting-induced work-hardening effect and final burr formationand then estimate the optimal first depth of cut in the two consecutive orthogonal cutting

    process to minimize the final exit burr size.

    2. Experiment and Finite Element Analysis of Orthogonal Cutting

    Four different cases will be tested by experiment and finite element simulation: (i) tool

    passes through the work hardened region in the second cutting process (Figure 2(a)), (ii)

    tool passes through the border of the work-hardened region (Figure 2(b)), (iii) tool passesthrough the depth of cut far below the work hardened region (Figure 2(c)), and (iv)second depth of cut is set as same to the burr thickness. (Figure 2(d)). To estimate the

    work-hardened region, finite element simulation will be used. To measure the machininginduced residual stresses and work hardening effect on the workpiece by experimental

    method is very difficult and expensive. Finite element simulation can be an adequatemethod to investigate the remaining surface residual stresses and work-hardened region

    near a burr. In this study, quantitative data will be obtained from experiment and a finite

  • 8/12/2019 Lma Report 01

    37/166

    Research Reports 20012002 37

    element analysis will be used for the qualitative investigation of work-hardening regionand for experiment design. First, through the finite element simulation, the work-

    hardening region can be estimated. Based on this finite element analysis result,orthogonal cutting of various depths of cut will be tested.

    Figure 1. Burr formation process in orthogonal cutting (finite element simulation) [1].

    (a) Before initiation ofivoting point

    (b) Initiation and

    development of plastic

    (c) Plastic deformation at

    the pivoting point

    (a)Case 1: 2ndcutting through the exitsurface work-hardened region

    (d) Case 4: 2nd DOC = burr thickness

    (b)Case 2: 2ndcutting through theborder of exit surface work-

    hardened region

    (c) Case 3: 2nd cutting through farbelow the work-hardened region

    Figure 2. Three different cases in the two consecutive orthogonal cutting.

  • 8/12/2019 Lma Report 01

    38/166

    3. Future work

    The influence of work-hardening on the burr formation in practical machining processeslike milling or drilling can be investigated based on this study. Also, based on cuttingcriteria from the finite element modeling of this study, FE models can be developed for

    various complicated cutting processes, like milling or drilling. Work-hardening is closelylinked to the material properties which vary with temperature and strain rate. In mostcases these material properties are unknown and it usually becomes the limitation offinite element simulation of burr formation. Material property estimation techniques forfinite element analysis should be developed for more accurate simulation.

    References

    [1] Park,I.,1996,Modeling of Burr Formation Processes in Metal Cutting, Ph.D.thesis, University of California, Berkeley

  • 8/12/2019 Lma Report 01

    39/166

    Research Reports 2001-2002 39

    Optimization and Quality Control in Burr Formation using Design of

    Experiment (I):

    Face-Milling

    Kiha LeeSponsored by CODEF

    AbstractThis paper presents a new method to minimize burr formationand to improve surface finish simultaneously in face-milling. The designof experiments (DOE) methods discussed in the paper can optimizecutting parameters in an efficient and reliable fashion. Four cuttingvariables used at Daimler-Chrysler were optimized through iterativeDOEs. 48(%) of burr thickness, 53(%) of burr height and 39(%) of surfaceroughness were reduced by the final optimal condition obtained from DOEII.

    Keywords: burr, design of experiment, face milling.

    1. Introduction

    Machining is one of the most common manufacturing processes used in industry.However, burrs often occur along the workpiece edges during machining. The existenceof burrs may reduce the fit and ease of assembly, jeopardize the safety of workers duringhandling, or cause product malfunction in operation. Hence, burrs must be removed.Traditionally, a second finishing operation, known as deburring, is often used to assure

    that the edges produced meet tolerance specifications. There are substantial costsassociated with the deburring operation. In addition, since deburring is difficult toautomate, it may become a bottleneck in a production line. Therefore, it is desired toavoid, or at least minimize, burr formation during machining operations.

    Inputs Machine

    Internal items

    Output

    WorkpieceToolsMotionsControlFluids

    ForcesEnergyTemperaturesWearStationary zonesVibration

    Pats/costParts/timeRequired geometrySurface integrity

    Table 1. Inputs, outputs, and internal items in the machining system when metals are cut

    [Shaw 1968].

  • 8/12/2019 Lma Report 01

    40/166

    40 Laboratory for Manufacturing Automation

    Although a large amount of previous research has been carried out, no generallyacceptable analytical or empirical equations for the prediction and control of burr

    formation are currently available. Development of reliable analytical models forprediction and control of burr formation should be one of the main goals of burr

    research. However, this is a complicated phenomenon affected by many parameters,

    some of which are listed in Table 1. Hence, a trial and error method is widely used inindustry. Without an organized and systematic approach to experimentation, a costly andtime-consuming random walk approach to looking for effects of change can lead to

    little or nothing in terms of enhanced knowledge of the process. The design ofexperiments (DOE) methods discussed in the paper can optimize cutting parameters in an

    efficient and reliable fashion. Using the DOE method, cutting parameters were optimizedto minimize burr formation and improve surface finish simultaneously in face-milling.

    2. Experimental setup and results

    As shown in Figure 1(a), the DOE, based on the factorial experiment, dividesindependent variables into controllable factors and noise factors. Controllable factors are

    those that can be maintained to a desired value, while noise factors are those that may notbe controlled. In the study, noise factors are machine internal items shown in Table 1.

    Controllable factors have been chosen as tool geometry, speed, feed and depth of cut(DOC), as shown in Table 2. Tool geometry is divided into two variables, axial rake

    angle (a) and radial rake angle (b). This experiment has been conducted at Daimler-

    Chrysler in Germany. They restrict speed, feed and DOC within an acceptable range tosatisfy productivity, tool life, etc. Level 1 is chosen as a minimum value among the

    range, level 3 is the maximum and level 2 is average between Levels 1 and 3. The qualityof machined part is determined by burr size (height and thickness) and surface roughness

    (RMS).

    System Face milling

    process

    Output Quality of

    machined part

    Noise

    factors

    Controllable

    factors

    Machine

    internal items

    Cutting

    parameters

    System Face milling

    process

    Output Quality of

    machined part

    Noise

    factors

    Controllable

    factors

    Machine

    internal items

    Cutting

    parameters

    (a) (b)

    Figure 1 Definition of a system in the DOE (a) and face milling process (b).

  • 8/12/2019 Lma Report 01

    41/166

    Research Reports 2001-2002 41

    0.140.110.08Feed [mm/rev]

    1.51.10.7DOC [mm]

    20,00015,00010,000Speed [rpm]

    6,-66,60,0Tool [a,b]

    Level 3Level 2Level 1Controllable variables

    0.140.110.08Feed [mm/rev]

    1.51.10.7DOC [mm]

    20,00015,00010,000Speed [rpm]

    6,-66,60,0Tool [a,b]

    Level 3Level 2Level 1Controllable variables

    Table 2. Controllable variables and levels of cutting conditions in DOE I.

    Using a full factorial design method, given four factors and three levels, the totalexperimental run number would be 34=81. As more factors are added, the number ofexperiments increases exponentially. It takes time to conduct experiments and to measureburr size and surface roughness. Using the fractional factorial method, the total numberof runs can be reduced to minimize time invested and cost of experimentation. In thestudy, the number of experiments is reduced from 81 to 9, using an orthogonal array, L9(3)4, as seen in Table 3. Based on the array, the cutting conditions of each experimentwere set as shown in Table 4. For every cutting condition, burr height, thickness andsurface roughness were measured.

    Radial Rake Angle,b

    Axial Rake Angle,a

    Lead Angle, g

    Figure 2. Face-milling cutter with inserts, showing principal angles.

    3

    2

    1

    3

    2

    1

    3

    2

    1

    B

    2

    1

    3

    1

    3

    2

    3

    2

    1

    C

    125

    324

    237

    226

    338

    313

    139

    212

    111

    DARun #

    3

    2

    1

    3

    2

    1

    3

    2

    1

    B

    2

    1

    3

    1

    3

    2

    3

    2

    1

    C

    125

    324

    237

    226

    338

    313

    139

    212

    111

    DARun #

    Table 3.Orthogonal array, L9(3)4.

  • 8/12/2019 Lma Report 01

    42/166

    42 Laboratory for Manufacturing Automation

    2.66

    4.60

    5.50

    6.05

    7.44

    4.55

    8.90

    3.31

    4.73

    347

    202

    166

    243

    318

    141

    125

    167

    146

    354

    126

    125

    136

    246

    66

    114

    113

    143

    0.7

    1.1

    1.5

    1.5

    0.7

    1.1

    1.1

    1.5

    0.7

    0.08

    0.11

    0.14

    0.11

    0.14

    0.08

    0.14

    0.08

    0.11

    10,000

    15,000

    20,000

    10,000

    15,000

    20,000

    10,000

    15,000

    20,000

    0,0

    0,0

    0,0

    6,6

    6,6

    6,6

    6,-6

    6,-6

    6,-6

    1

    2

    3

    4

    5

    6

    7

    8

    9

    5.30206158Average

    ResultsCutting conditions

    s.roughness

    mm

    b.height

    mm

    b.thickness

    mm

    DOC

    mm

    Feed

    mm/rev

    Speed

    rpm

    Tool

    a,b

    Run #

    2.66

    4.60

    5.50

    6.05

    7.44

    4.55

    8.90

    3.31

    4.73

    347

    202

    166

    243

    318

    141

    125

    167

    146

    354

    126

    125

    136

    246

    66

    114

    113

    143

    0.7

    1.1

    1.5

    1.5

    0.7

    1.1

    1.1

    1.5

    0.7

    0.08

    0.11

    0.14

    0.11

    0.14

    0.08

    0.14

    0.08

    0.11

    10,000

    15,000

    20,000

    10,000

    15,000

    20,000

    10,000

    15,000

    20,000

    0,0

    0,0

    0,0

    6,6

    6,6

    6,6

    6,-6

    6,-6

    6,-6

    1

    2

    3

    4

    5

    6

    7

    8

    9

    5.30206158Average

    ResultsCutting conditions

    s.roughness

    mm

    b.height

    mm

    b.thickness

    mm

    DOC

    mm

    Feed

    mm/rev

    Speed

    rpm

    Tool

    a,b

    Run #

    Table 4. Cutting conditions and results from DOE.

    Based on the results of Table 4, response graphs were drawn (Figure 3). The squaresrepresent Design of Experiment I. Each response of controllable factors can be obtained

    by averaging the corresponding experimental data. For example, the average burr-thickness response of speed for 10000 can be obtained by averaging the burr thickness of

    run 1, run 4 and run 7 as follows:

    2013

    114136354=

    ++

    Two important tasks can be achieved from the response graph. First, we can distinguish

    the parameters that have either strong or weak effects on burr formation and surfacefinish. A high gradient in the graph means that the factor has a strong effect on theresponse. For instance, in Figure 3(a), depth of cut has a strong effect on burr thickness,

    and feed has a weak effect. Second, the graph allows us to set optimal values. Forexample, speed should be set at 20000 rpm to minimize burr thickness (Figure 3(a)). To

    minimize burr formation and improve surface finish simultaneously, an integratedperformance index was derived. This is a cumulative normalized index. For example, the

    index of run #1 in Table 4 can be obtained as

    42.430.5

    66.2

    206

    347

    158

    354=++

    In terms of an integrated index (Figure 3(d)), optimal cutting conditions can bedetermined to minimize burr formation and improve surface finish in DOE I. The optimal

    conditions are (6, -6) degrees, 20000 rpm, 0.11 mm/rev and 1.1 mm for rake angles,spindle speed, feed rate and DOC, respectively. Since speed, feed and DOC are not

    discrete values in reality, it is possible to optimize the values and improve the quality ofthe machined part. For this reason, a second DOE was performed, based on the optimal

    values obtained from DOE I. Table 5 shows the controllable variables and the levels of

  • 8/12/2019 Lma Report 01

    43/166

    Research Reports 2001-2002 43

    cutting conditions in DOE II. Following the same procedure as in DOE I, DOE II wasimplemented. The response graph is shown in Figure 3 as circles. Final optimal

    conditions are (6, -6) degrees, 20000 rpm, 0.08 mm/rev and 1.1 mm for rake angle,spindle speed, feed, and DOC, respectively. Compared to DOE I, average values of burr

    thickness, burr height and surface roughness were reduced 48 %, 53 % and 39 %,

    respectively. The difference in burr sizes can be seen in Figure 4.

    (a) average burr thickness (b) average burr height

    (c) surface roughness (d) integrated performance index

    Figure 3. Response graphs from DOE I and DOE II.

  • 8/12/2019 Lma Report 01

    44/166

    44 Laboratory for Manufacturing Automation

    Figure 4. Typical burr formation (left) and burr formation (right) using the optimal

    cutting condition derived from DOE II.

    0.120.10.08Feed [mm/rev]

    1.51.31.1DOC [mm]

    23,00020,00017,000Speed [rpm]

    6,-6Tool [a,b]

    Level 3Level 2Level 1Controllable variables

    0.120.10.08Feed [mm/rev]

    1.51.31.1DOC [mm]

    23,00020,00017,000Speed [rpm]

    6,-6Tool [a,b]

    Level 3Level 2Level 1Controllable variables

    Table 5. Controllable variables and levels of cutting conditions in DOE II.

    References

    [1] Shaw, M. C.,Metal Cutting Principles, Clarendon Press, Oxford, England, 1984.

  • 8/12/2019 Lma Report 01

    45/166

    Research Reports 2001-2002 45

    Optimization and Quality Control in Burr Formation u1sing Design of

    Experiment (II):

    Drilling of Intersecting Holes

    Kiha LeeSponsored by CODEF

    AbstractThis paper presents a method to minimize burr formation indrilling intersecting holes using Design of Experiments (DOE). Threecontrollable parameters: tool geometry, speed and feed, were optimizedthrough iterative DOEs. An 88 % reduction in burr size was obtainedusing the final optimal conditions from DOE II.

    Keywords: burr formation, design of experiment, drilling, drill geometry.

    1. Introduction

    The drilling process of the crankshaft of automobile engines (Figure 1) motivated this

    study. Through holes, which go through the crankshaft perpendicularly, are drilled first.Afterwards, holes are drilled to intersect the through holes. Drilling exit burrs are formed

    around the periphery of the intersecting holes and additional deburring processes areneeded to remove the burrs.

    Figure 1. Workpiece showing intersecting hole.

    Burr minimization in drilling of intersecting holes is especially important, because it

    usually allows a very limited access for deburring tools. Even though it is a very common

    practice in industry, very little research has been carried out on the burr formationmechanism in intersecting hole drilling. Stein [1] and Kim [2], conducted acomprehensive experimental study in this area.

    Figure 2 is the proposed sequence of events of burr formation during intersecting hole

    drilling, based on observations in this study. Since the exit angle (f) varies with the

    angular location around the intersecting drilled hole, the stiffness of the material under

  • 8/12/2019 Lma Report 01

    46/166

    46 Laboratory for Manufacturing Automation

    the drill also varies. The point of minimum exit angle has the smallest stiffness and thematerial under the point will easily be bent and pushed out to form the largest burr.

    The objective of the study is to minimize burr formation in intersecting holes usingDesign of Experiments (DOE). Lee [3] proposed a method to minimize burr formation

    and to improve surface finish in face milling simultaneously using DOE. A similar

    approach has been applied to minimize burr formation in intersecting holes. Using themethod, dominant variables which have a strong effect on burr formation have beendistinguished. In addition, optimal cutting conditions have been determined and the

    process tuned.

    Figure 2. Burr formation in intersecting hole drilling.

    2. Experimental setup and results

    The experiments were carried out at Daimler-Chrysler in Germany. The material is20MoCr4, which is similar to AISI 4118. A picture of the workpiece is shown in Figure

    1. Experimental variables: tool geometry, speed and feed, are shown in Figure 3. For tool

    geometry, level 1 is a conventional drill. Level 2 is a 20additional chamfer drill withsplit point and level 3 is a 40additional chamfer drill.

    0.120.080.04Feed [mm/rev]

    1,6001,3001,000Speed [rpm]

    Double chamferDouble chamfer

    with split point

    Conventional

    Tool

    Level 3Level 2Level 1Controllable

    variables

    0.120.080.04Feed [mm/rev]

    1,6001,3001,000Speed [rpm]

    Double chamferDouble chamfer

    with split point

    Conventional

    Tool

    Level 3Level 2Level 1Controllable

    variables

    Figure 3.Controllable variables and their levels.

    flarge burr

    small burr

  • 8/12/2019 Lma Report 01

    47/166

    Research Reports 2001-2002 47

    Figure 4. Response graph of DOE I (a) and DOE II (b).

    Figure 5. Typical burr formation (left) and burr formation (right) using the optimalcutting conditions obtained from DOE II.

    References

    [1] J. Stein, I. Park, D. A. Dornfeld, Influence of Workpiece Exit Angle on BurrFormation in Drilling Intersecting Holes, Trans. North American ManufacturingResearch Institute, SME, vol. 24, 1996, pp. 39-44.

    [2] J. Kim, D. A. Dornfeld, R. J. Furness, Experimental Study of Burr Formation inDrilling of Intersecting Holes with Gun and Twist Drills, Tech. Papers,NAMRI/SME, 1999, pp. 39-44.

    [3] K. Lee, Optimization and Quality Control in Burr Formation Using Design ofExperiment (I): Face-Milling, 2001 LMA Annual Reports.

    (a) (b)

    a (b)

  • 8/12/2019 Lma Report 01

    48/166

    48 Laboratory for Manufacturing Automation

    A Study of Surface Roughness in Micro-End-Milling of Aluminum

    Kiha LeeSponsored by CODEF and ACLARA

    Abstract A series of experiments has been conducted in order tocharacterize the factors that affect surface roughness, and to determine the

    range of attainable surface roughness values for the micro-end-millingprocess. A 229mm diameter end mill was used to cut slots into aluminium

    6061 samples. The machining factors studied were chip load (feed pertooth), cutting speed, and depth of cut.

    Keywords: aluminum, micro end milling, surface roughness.

    1. Introduction

    The end-milling process is one of the most widely used material removal processes inindustry. In recent years, the micro-end-milling process has received increased attention.Micro-end-milling refers to a basic end milling process were tools down to 50 mm indiameter are used. Micro-end-milling is essentially the same process as end-milling onthe macro scale. However, there are a few important differences. As the tool diameterbecomes smaller, the rotational speed theoretically required to achieve the recommendedcutting speed is far above the technical limit of the available spindles. Another concern inmicro-milling is that run-out can become comparable to the diameter of the tools used.The run-out to tool diameter ratio is much larger for micro-end-milling than fortraditional milling.

    2. Experimental setup

    A Mori Seiki CNC drilling center was used for the experiments. The drilling center has a

    maximum spindle speed of 8000 rpm. However, by using an Air Turbine tool attachment,operation at 40000 rpm is allowed. A 229 mm diameter tool from Robbjack Corporation

    was used for the experiments. The end-mills are made of 92% WC and 8% Co. Surfaceroughness measurements were taken with a diamond stylus (Tenco P-10), traveling along

    a straight line over the surface of the machined workpiece. It features the ability to

    measure micro-roughness with up to 0.5 resolution.

    3. Results and Analysis

    A two level factorial analysis was performed on a subset of the data. A graphical

    representation of the results is shown in Figure 1. The high and low values for each of theparameters, along with the calculated effect of each parameter is also shown in Figure 1.

    The high and low values for depth of cut correspond to 1/2 and 1/4 the tool diameter,

  • 8/12/2019 Lma Report 01

    49/166

    Research Reports 2001-2002 49

    respectively. The cutting speed values correspond to 7500 rpm and 40000 rpm with a 229mm diameter tool.

    ChipLoad

    Depth of Cut

    653 676

    610

    2529

    3843

    652

    1725

    3596

    Cutting

    Speed

    Parameter High LowChip Load (ft) 5.7 mm 0.83 mm

    Cutting Speed (vc) 0.48 m/s 0.089 m/s

    Depth of Cut (aa) 114 mm 57 mm

    Parameter Effect ()ft 2276

    vc -813

    aa 258

    ft*vc -779.5

    ft*aa 267.5

    vc*aa 123

    ft*vc*aa 155.5

    Figure 1. Graphical representation of the results of the 2 level factorial analysis.

    The numbers at the corners of the cube are the surface roughness values in Angstroms.The effects of each parameter and interactions are also shown.

    The chip load is by far the most dominant factor affecting the surface roughness.However, cutting speed and cutting speed chip load interaction are also significant, as

    shown on the normal probability plot (Figure 2). In this plot, any point that lies off astraight line fit can be considered a real effect and not due to random variations in the

    process. The effects of the other factors (points not labeled in Figure 2) are within thenoise of the process and have been left out of the models developed.

    Although cutting speed and the cutting speed chip load interaction are significant, their

    effect is far smaller than the effect of chip load. A linear model was developed using the

    data from the 2 level factorial analysis:

    ctcta vfvfR 8185896975.96 -++=

  • 8/12/2019 Lma Report 01

    50/166

    50 Laboratory for Manufacturing Automation

    Figure 2. Normal probability plot of main effects and interactions. Points that lie off a

    straight line fit (labeled) represent the significant effects.

    Four different chip load levels were tested in order to generate a quadratic model for this

    factor. The extra two levels were added between the high and low levels used for thetwo-level factorial. Surface roughness results for two different depths of cut are shown in

    Figure 3.

    Figure 3. Surface Roughness as a function of chip load for 2 different depths of cut andcutting speeds. The trend line is the value predicted by a quadratic polynomial model.

    The relationship between chip load and surface roughness appears slightly non-linear,particularly at high cutting speed. A polynomial model was developed incorporating asecond order term for chip load.

    ctctta vfvffR 99012563.464396.43 2

    -+++=

    d=229um, DOC = D/2

    0

    500

    1000

    1500

    2000

    2500

    30003500

    4000

    4500

    0 2 4 6Chip Load (um)

    Ra(Angstroms)

    Vc = 0.09 m/s

    Vc = 0.48 m/s

    d=229 um, DOC = D/4

    0

    500

    1000

    1500

    2000

    2500

    30003500

    4000

    4500

    0 2 4 6Chip Load (um)

    Ra(Angstroms

    )Vc = 0.09 m/s

    Vc = 0.48 m/s

  • 8/12/2019 Lma Report 01

    51/166

    Research Reports 2001-2002 51

    Figure 4 shows the surface roughness traces for several slots, machined with differentcombinations of chip load and cutting speed. SEM micrographs of the machined slots are

    also shown. Large marks from the cutting tooth (a deep valley followed by a high peak)are easily visible on the surface roughness traces. These marks can also be seen in the

    adjoining SEM micrographs. Interestingly, the period from large peak to large peak is

    twice the chip load, which means that the large marks are created once per revolutionrather than once for each tooth. In many of the surface roughness traces, a step is clearlyvisible midway between larger peaks. This phenomenon is most likely the result of run-

    out.

    A functional part that will be used in a meso-scale electricity conversion system, forpotential use in very low power electronics, was fabricated as a verification part (Figure

    5). The entire size of the part is 17.5 mm x 17.5 mm x 0.5 mm.

    -500

    -400

    -300

    -200

    -100

    0

    100

    200

    300

    400

    500

    140 150 160 170 180

    Feed distance [um]

    Surfaceroughness[nm]

    Figure 4. Surface Roughness Traces and SEM Micrographs at 7500 rpm speed, 1/2dDOC, and 4.233um/tooth feed for low and high chip loads.

  • 8/12/2019 Lma Report 01

    52/166

    52 Laboratory for Manufacturing Automation

    Up-millingDown-milling

    Figure 5. Photograph of verification part and SEM micrograph of the verification part.

    One arm broke during processing. The picture on the right shows a comparison ofsurface roughness for up-milling and down-milling.

    Although the surface roughness was not measured for this part, SEM micrographs weretaken and show some interesting qualitative results. Figure 5 shows a micrograph of theverification part. The micrograph on the right shows the surface of the large pocket

    milled out of the center. Both up-milling and down-milling were used on this portion ofthe pocket as shown in Figure 5. From the micrograph, it can be seen that the surface

    roughness is better for down-milling, as is commonly the case.

  • 8/12/2019 Lma Report 01

    53/166

    Research Reports 2001-2002 53

    Integrated Tool Path Planning and Burr Prediction System for Face

    Milling

    Prabhu Ramachandran and Amit BansalSponsored by CODEF

    AbstractIn recent years there has been significant progress in the fieldsof tool path planning and burr prediction systems for the face millingoperation. The objective of this research is to bring priority based tool pathplanning and Exit Order Sequence to a common integrated platform. Theoptimal tool path generated by the scheme is the result of interactionbetween a theoretical approach and the knowledge base gained byexperimentation.

    Keywords:burr prediction, exit order sequence, face milling, integrated

    user interface, tool path planning.

    1. Introduction

    Priority based tool path planning[1, 2] is a practical tool-path planning scheme for exitburr minimization, based on assignment of priorities to various features depending on theedge quality desired. Priorities can be used to distinguish regions that are critical in termsof burr formation because of functional importance, safety issues and/or stringenttolerance specifications.

    Exit Order Sequence (EOS)[3] is defined in terms of three points (A, B and C) on thetool insert as shown in Figure 1: A on the minor cutting edge (exact location in 3D isdetermined by feed value and direction), C on the major cutting edge (exact location in3D is determined on unmachined surface) and B at the intersection of the two edges (atthe depth of cut). The sequence in which these points come out or exit of the work pieceedge determines the EOS and burr size. Six different EOS are possible ABC, BAC, ACB,BCA, CAB, and CBA in the order of increasing burr size.

    Figure 1. Exit Order Sequence.

  • 8/12/2019 Lma Report 01

    54/166

    54 Laboratory for Manufacturing Automation

    Algorithms for these two tools have been developed in the laboratory for manufacturingautomation. Currently efforts have been made on integration of these two tools on acommon platform with an integrated Graphical User Interface to make the processplanning more user-friendly.

    2. Architecture for integrated platform

    The new platform has been developed for the windows operating system for easy userinterface and interaction. In the proposed architecture the software that runs on VisualC++ using the ACIS Geometric Modeler reads the part file in the sat format.

    2.1. Tool-Path planning

    User input is used to prioritize various regions and to identify shoulders and fixturing

    constraints. A bounding region for the tool path that minimizes the high exit and high

    entrance angle conditions is generated based on the information obtained. The shortesttool path within the previously generated bounding region is chosen as the initial toolpath to minimize the machining time. Recommendations are made for the selection of

    tool diameter if a single pass operation is desired based on the tool swept area. Apreliminary attempt is made to develop a tool path.

    2.2.Burr prediction

    The exit order prediction scheme is then applied to obtain the EOS for the current toolpath. The EOS provides useful information on regions which have undesirable burrformation. For example EOS of BCA, CAB and CBA lead to large burrs. Information

    regarding various EOSs present is stored in a data structure with the location of burr onthe work piece edges.

    2.3.Integration

    This information is then transferred back to the tool path planner. Local modifications aremade to the tool path to remove segments that have an undesirable EOS. The loopbetween the tool path planner and the burr prediction system is traversed repeatedly untilthe desired burr minimization objective is achieved. The NC code is generated for theoptimal tool path that results from the previous algorithm.

    The burr size distribution corresponding to the various exit order sequences present in theoptimal tool path is generated using a database connectivity tool. The database used forthis purpose has been the result of experiments conducted both at the University ofCalifornia, Berkeley and at various industrial organizations. The database provides amean value as well as an interval where the value is most likely to fall.

  • 8/12/2019 Lma Report 01

    55/166

    Research Reports 2001-2002 55

    Figure 2 shows the block diagram of the steps involved within the software tool. Figure 3shows a snapshot of the output of the software with a simple extruded part and theoptimal tool path.

    Figure 2. Steps involved in the integrated user interface.

    Figure 3. Snapshot of the output with a simple extruded part and its optimal tool path.

    Tool PathPlanner

    ComprehensiveEOS Scheme

    Burr SizeDatabase

    Feedback

    CADfile

    Integrated User Interface

    Optimized tool path withburr locations

    EOS shown with theoptimized tool pathand burr size

  • 8/12/2019 Lma Report 01

    56/166

    56 Laboratory for Manufacturing Automation

    References

    [1] Rangarajan, A and Chu, C.H. and Dornfeld, D.A., Avoiding Tool Exit in PlanarMilling by Adjusting Width of Cut, Proceedings of the ASME,ManufacturingEngineering Division, ED, Vol. 11, pp. 1017-1027, 2000.

    [2] Rangarajan, A., Priority Based Tool Path Planning for Face Milling, MastersDissertation 2001, UC Berkeley.

    [3] Kumar, S., Basic Model for Burr Prediction System, Masters Dissertation 2001,UC Berkeley.

    [4] Narayanaswami, R. and Dornfeld, D.A., Burr Minimization in face milling ageometric approach, ASME Journal Of Manufacturing Science and Engineering,Vol. 119, May 1997, pp. 170-177.

  • 8/12/2019 Lma Report 01

    57/166

    Research Reports 2001-2002 57

    Probabilistic based Precision Process Planning P4

    Arvind RangarajanSponsored by CODEF

    Abstract Progress and innovation are necessary to sustain marketleadership for automotive companies. Advanced manufacturingengineering is a core competency required to make quality automobiles.Complete understanding of each of the manufacturing processes used inthe production of the automobile is important. This report describes aframework that helps identify and preserve those core competencies,suggest avenues to expand those in the future to maintain marketleadership, and to clearly identify the stages where innovation orsignificant improvement is immediately necessary. P4 is a scientificapproach for aggressive manufacturing.

    Keywords: probabilistic, process planning.

    1. Problem Description

    The experiences gained during our collaboration with industry over several years haveidentified some of the limitations faced by engineers in production. This proposaloutlines a solution strategy to eliminate the following shortcomings:

    1. Processes that are widely used for a particular material class are being optimized toofar into production. This results in temporary unstable solutions. This is often due to

    process planning being done elsewhere (e.g. machine tool manufacturers) and lack ofsoftware tools to check for optimization of the designed process plan beforeproduction.

    2. Sensitivity of the manufacturing process to the inherent variability in the system isoften high. For example, in face milling, the process should be operating in a regionwhere there is little or no sensitivity to silicon content of the alloy, coolant

    composition, etc. Tools to assist in determining these stable regions would behelpful.

    3. Process balancing could be improved and the processes are often not optimized as

    part of the sequence generating the desired precision dimensions. The process wouldbenefit from more of a systematic approach.

    4. Information about processes that are well-understood and successful (trouble free) isoften not transferred to the design engineer to aid concurrent engineering. Tools to

    facilitate this transfer of information and its use as part of the design process wouldbe helpful.

  • 8/12/2019 Lma Report 01

    58/166

    58 Laboratory for Manufacturing Automation

    2. Solution Framework

    The solution framework is a systematic procedure that would be accompanied by a suiteof software tools that would aid plant, process and design engineers to alleviate theproblems described above. Even though the framework is universal the focus of our work

    is on machining processes, the implementation would be limited to understanding andoptimizing a sequence of machining steps. The following tasks have to be accomplishedfor creating such a system:

    a) Identify the weak and strong process links in the process chain or sequence usingPareto analysis. Here weak refers to a condition when the influence of parameters and

    its position in sequence is not well established.

    b) Estimate the level of understanding at the company for individual processes andsuggest experimental plans to fill in missing details. The process domain can be

    explored by using probability of achieving the specification as the desired

    quantitative measure.

    c) Develop experimental analysis tools to extract useful and relevant information tooptimize each process and, at the same time, generate the desired probabilityinformation.

    d) Divide the feasible domain into stable and unstable regions with analytical andempirical data.

    e) Understand the coupling be