Frequency Synthesizers in Nanometer CMOSDirect Digital Synthesis (DDS) ROM DAC LPF t ttt Frequency...

98
R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 1 R. Bogdan Staszewski IEEE Dallas CAS Chapter Frequency Synthesizers in Nanometer CMOS

Transcript of Frequency Synthesizers in Nanometer CMOSDirect Digital Synthesis (DDS) ROM DAC LPF t ttt Frequency...

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 1

    R. Bogdan Staszewski

    IEEE Dallas CAS Chapter

    Frequency Synthesizers in Nanometer CMOS

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 2

    Outline

    • RF frequency synthesis fundamentals– Motivation for digitally-intensive PLL

    • New paradigm in nanometer-scale CMOS• All-digital phase-locked loop (ADPLL)• ADPLL wideband frequency modulation• Conclusion

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 3

    Frequency Synthesis in Radio Transceivers

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 4

    • Local oscillator (LO) is needed in every radio TX and RX– Irrespective of the architecture

    • Needed to translate RF frequency down to IF or baseband (in RX) and vice versa (in TX)

    • LO has to be tunable across the RF wanted frequency band and the frequency resolution has to be at least equal to the channel spacing

    • Frequency synthesizer is used as LO• RF frequency synthesizers remain one of the most

    challenging blocks in mobile wireless communication systems

    Local Oscillator in a Radio Transceiver

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 5

    Local Oscillator

    • Frequency reference (FREF) source usually built as tunable crystal oscillator (XO)– Voltage-controlled temperature-compensated XO (VCTCXO)

    • External module; expensive (~$1)– Digitally-controlled XO (DCXO)

    • Requires external XTAL (~$0.2)– MEMS resonators

    • Emerging technology• Three major frequency synthesis techniques:

    – Direct-analog (error correction process is avoided)– Direct-digital– Indirect or phase-locked loop

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 6

    Phase Noise in Oscillators

    ))(cos()( ttAtv c φω +⋅=)cos()( φω +⋅= tAtv c ttAtAtv cc ωφω sin)(cos)( ⋅⋅−⋅≈

    2)(

    )(ω

    ω φΔ

    =ΔS

    L

    • Ideal oscillator: power concentrated at ωc– Dirac pulse in frequency domain

    • Real oscillator: phase is time-varying– Spectrum will exhibit “skirt” around the carrier frequency

    Single side band noise

    cc

    Ideal Oscillator Practical Oscillator

    1-Hz bandwidth

    vv

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 7

    Phase Noise Profile• 1/ω0: thermal noise added to the clock outside of the oscillator

    proper; does not affect the oscillation time base• 1/ω2: upconverted thermal (AWGN) noise; caused by

    uncorrelated timing fluctuations in the period of oscillation; modeled as random walk

    • 1/ω3: upconverted flicker (1/f) noise; significant in thin-oxide MOS transistors

    • RF oscillator phase noise spectrum exhibits three regions

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 8cm

    carrierv

    c m c m

    noise sidelobes

    Oscillator Spurious Tones

    ))(cos()( ttAtv c φω +⋅=)sin()( tt mp ωφφ ⋅=

    ])cos()[cos(2

    cos)( ttA

    tAtv mcmcp

    c ωωωωφ

    ω +−+⋅⋅

    +⋅≈

    • Periodic (systematic) content in the phase noise will exhibit itself as undesired spurious tone sidelobes upconverted around the carrier

    Phase noise spectrum

    Voltage spectrum

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 9

    LO in Transmitter

    Tail phase noise of the TX might corrupt weak detected signal from other TX

    Interferer

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 10

    LO in Receiver

    Interferer

    WantedSignal

    Interferer LO

    c

    IF

    skirt

    Signal due to conversion of the

    interferer

    IF

    noise

    Signal due to conversion of the

    wanted signal

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 11

    Synchronization of a Mobile Station• LO needs to be accurate and stable to 0.1 ppm

    – i.e., 200 Hz at 2 GHz• Crystal’s inaccuracy more than 10 ppm• Mobile station uses base station for synchronization

    – Automatic frequency control (AFC)• => Reference frequency typically needs to be tunable

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 12

    • Phase noise performance• Discrete spurious noise performance• Switching speed – for channel hopping, sleep modes• Frequency and tuning range – operational band plus PVT

    margin• Power consumption – battery operated mobile devices• Size – mass production deployment• Integrateability – integrate with digital logic and memory• Cost – no extra cost added to the process; minimal count of

    external components• Testability – low testing costs; built-in self test (BIST)• Portability – ability to transfer the design from one application

    to another and from one process to another

    Frequency Synthesizer Ranking

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 13

    Frequency Synthesis Types

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 14

    Direct Digital Synthesis (DDS)

    ROM DAC LPF

    t t t t

    Frequency Control Word

    (FCW)

    Phase Accumulator

    output

    time-sampledphase

    time-sampledamplitude

    time-continuousamplitude

    Frequency Reference

    Clock(FREF)

    • Digitally constructs the desired signal– Amplitude, frequency and phase

    are known and controlled at all times

    • Not entirely digital– Requires DAC and LPF

    • Not feasible at GHz frequencies– Clock has to be at least 3x of the

    output frequency

    • Developed by Tierney [1] in 1970s

    PhaseFCW

    Phase Accumulator

    FCW(data)

    FCW(channel)

    FREF

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 15

    Indirect Synthesis: Charge-pump PLL• Phase difference estimated in PFD by measuring time difference between

    fref and fdiv closest edges, hence fundamentally slow acquisition• Frequency acquisition time is proportional to the initial Δf / fBW• Disadvantage: slow acquisition and difficult to integrate

    – Difficult to integrate: VCO and large charge-pump caps• Conflict in frequency switching time and suppression of spurs• Only suitable for narrowband transmit modulation

    • PFD produces current pulse Ip with prop. duty cycle

    • Loop filter converts the current into a VCO tuning voltage

    • C2 is an integrating capacitor and puts a pole at dc

    PFD

    Phase/Frequency Detector

    VCO

    Voltage-controlledOscillator

    Tuning voltage

    Frequency Divider

    UP

    DOWN

    Charge Pump

    C2C1

    R1

    Loop Filter FVCOFREF IP

    FDIV

    INref

    (fdiv)

    vco

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 16

    Fractional-N Synthesizer

    Spur reduction techniques:• Analog compensation

    schemes – phase interpolation

    • Sigma-Delta modulator

    • Frequency tones (spurs) due to repetitive phase shift

    • Easy to predict in a digital manner --accumulator

    Kingsford-SmithUS patent 3,928,8131974 (filing date)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 17

    Sigma-Delta Fractional-N Divider

    z-1

    .f(k)OV3

    b(t) = Ndiv(k)z-1

    OV2OV1

    +-

    + +

    +-

    z-1

    z-1z-1

    ΣΔ modulator shapes the quantization error energy into higher frequencies which are then easy to filter out

    RileyUS patent 4,965,5311989 (filing date)

    WellsUS patent 4,609,8811984 (filing date)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 18

    Hybrid of DDS and PLL• DDS combined with PLL• DDS operates at lower frequency: wideband modulation and

    fast channel hopping capability• PLL used as frequency multiplier to up-convert the DDS

    output to RF band• Used in basestations

    – Fast settling time

    clk

    DDS RF

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 19

    Motivation for (All?)-Digital PLL• Frequency synthesizers in commercial wireless applications

    traditionally use charge-pump PLL’s• No prior reports on successful low-cost synthesizer

    architectures for mass-market mobile communications employing all-digital approach

    • Design flow and circuit techniques are analog intensive

    ref

    div

    vco

    • Technology incompatible with modern digital baseband processors– Use low-voltage

    nanoscale CMOS

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 20

    Phase Domain Operation• Charge-pump PLL does not truly operate in the

    phase domain: only approximation under lock– [2: Gardner’80] describes: “converting the timed logic

    levels into analog quantities”– Generates reference spurs that require filter

    • Consequence: tradeoff between the reference spur level and settling time

    • No such tradeoff with true phase-domain operation– See [3: Kajiwara’92]

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 21

    Preview: All-Digital PLL• Charge-pump

    PLL:• Suffers from

    reference spurs

    • Tradeoff: bandwidth against spur level

    FREF CKVPhase error

    Variable phase

    Reference phase

    Tune

    R V

    Phase/Frequency Detector VCO

    Tuning voltage

    Frequency Divider

    UP

    DOWN

    Charge Pump

    Loop FilterFREF

    R V

    • All-digital PLL:• True phase

    domain operation

    • (Details to come…)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 22

    Nanoscale CMOS

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 23

    90nm

    DRP™

    90nm • SoC Integration Includes:– RF– Analog– Power management– Digital baseband– SRAM– Processors– Software

    • Most advanced process technology used to maximize integration while minimizing cost

    – 90nm (shipping) – 65nm (mature design) – 45nm and beyond

    (preliminary)

    • 2x area reduction each process technology node

    • RF/analog is 20-30% of SoC

    SoC Drives Cost Reduction

    65nm65nm

    45nm

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 24

    Why Single-Chip Radio?• "Integration is like gravity”

    – Already happened in hard-disk drives, ADSL, etc

    – Not a single example of reversal

    • “$20 phones”• Large untapped market in

    India and China• More “real estate” space for

    advanced features• Better reliability

    – Today, more than half of the total components on a board are analog RF components

    • Longer talk time

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 25

    Technology Trends for 45 nm CMOS• 45 nm doubles transistor density over 65 nm and quadruples

    over 90 nm technology– To support more standards, radios and multimedia in mobile phones

    • Smaller transistor capacitances hence lower power dissipation

    • Nominal supply voltage of 1.1 V; ranging from 0.9 V to 1.2 V• SRAM size of 0.24 um2 optimized for size• Conventional gate stack of nitrided silicon dioxide and

    polysilicon gate– Metal gate only for high-performance process (SUN Sparc)

    • No high-k dielectric– Very little performance gain compared with higher complexity and cost

    • New package technology– Stacked die for embedding of large memories– Dies embedded in a board to maximize space and performance

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 26

    Nanoscale CMOS Technology Trends• Variability of minimum size devices gets worse with each

    process node• Source: ISSCC 04, Microprocessor Forum, p29

    Relative Gate Length (CD) Variation Relative NMOS Vth Variation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 27

    New Paradigm

    In a highly-scaled CMOS technology, time-domain resolution of a digital signal edge transition is superior to voltage resolution of analog signals

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 28

    Rules of nm-Scale CMOS• Exploit:

    – Fast switching characteristics of MOS transistors• 20 ps transition and fT of 250 GHz in 45-nm CMOS• Improves 20% per process node (18—24 months)

    – Small device geometries and precise device matching– High density of digital logic: 1 Mgates/mm2 in 45-nm

    CMOS• 2x scaling at each process node (18—24 months)

    – High density of SRAM memory: 4 Mbits/mm2 in 45-nm CMOS

    • Avoid:– Biasing currents for analog circuits– Reliance on voltage resolution– Nonstandard devices not needed for memory and logic

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 29

    Trend towards Digitization (e.g.#1)• (Example)• A/D => ACCUM => D/A• Gets rid of the large leaky integrating capacitor

    – Leakage acts like an additional resistor• Integrating pole stays at zero

    Perrott et alUS patent 6,630,8682001 (filing date)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 30

    Mitigation through Architecture (e.g.#1)

    • (Example)• DPLL in 90-nm• Uses TDC for phase

    detection• Digital loop filter

    TDC

    Digital loop filter

    • [8: Lin’04]• Also see

    – [5: Dunning’95]

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 31

    • Using multiple equally-spaced phases generated from a VCO to synthesis various frequency and phase, by triggering the flip-flops at predestined time

    • Principle idea: see diagram below (just the principle).• [9 – L. Xiu]

    Flying-Adder Frequency/Phase Synthesis

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 32

    • An example: – VCO at 156.25 MHz (6.4 ns) →Δ = 6.4/32 = 0.2 ns (assume N=32)– Wanted: 204.08 MHz, or T = 4.9 ns →FREQ[9:0] = T/(2Δ) = 4.9/0.4 =

    12.25 = 01100.01000b– Integer portion is used for selecting tick, fractional portion is for

    accumulation.

    000000

    1201100

    2411000

    400100

    1710001

    2911101

    00000.00000+ 01100.01000

    01100.01000

    01100.01000+ 01100.01000

    11000.10000

    11000.10000+ 01100.01000

    00100.11000

    00100.11000+ 01100.01000

    10001.00000

    10001.00000+ 01100.01000

    11101.01000

    12 12 13 1212

    MUX Address

    Flying-Adder Frequency/Phase Synthesis

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 33

    All-Digital Phase-Locked Loop

    R V

    Frequency reference

    Frequency command word

    Variable frequency

    FCW

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 34

    Simple Idea of Phase Domain• Construct expected or ideal timestamps

    – “Reference phase”

    • Measure the actual timestamps– “Variable phase”

    • Their difference is the time error– Used for future timing corrections as negative feedback

    Expected timestamps

    Actual timestamps

    t[1] t[2] t[3] t[4]

    tNoise pdf Error as

    correction

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 35

    Issues with the Simple Idea• Synthesized (variable) frequency is typically much higher

    than the reference frequency• Non-integer relationship• How to truly operate in time domain, i.e., “timestamps”?

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 36

    Proposed Solution• Reference and variable signals are digital clocks

    – Use only their (rising) edges

    • Phase error calculation to be performed on reference edges• Turn the phase detection problem around

    – Measure the reference timestamps with the variable clock– Sample the count of variable clock cycles with each reference edge– If variable phase drifts, their sampled count will get affected

    R R R R

    Reference

    Variable (nom)

    Variable (early)

    Variable (late)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 37

    Hardware Needed for Digital Operation• Accumulator of FCW• Interpolator or normalize estimator of edge separation

    – Time-to-digital converter (TDC)

    • RF oscillator needs to be numerically controlled:– Digitally-controlled oscillator (DCO)

    • Digital phase detector and loop filter

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 38

    Digitally-Controlled Oscillator (DCO)ΣΔ Modulator and DCO InterfaceTime-to-Digital Converter (TDC)

    Digital Loop Filter (LF)All-Digital PLL (ADPLL)

    ADPLL Wideband Frequency Modulation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 39

    MOS Varactor• Only simplest varactors

    in the digital CMOS• Perceived poor quality of

    varactors in a nanoscale CMOS for conventional VCO’s

    • Conventional CMOS varactors– Large linear range for precise and wide frequency control

    • Nanometer CMOS varactor– Linear range is compressed with high noise sensitivity

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 40

    Digital Tuning of DCO• A large linear varactor in conventional VCO replaced

    with a large number of tiny binary-controlled varactors in a digitally-controlled oscillator (DCO)– Smallest varactor size: tens of atto-farad

    • Deliberate avoidance of any analog tuning• The feedback loop could now be fully digital

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 41

    DCO Core• 3.2—4 GHz range

    • No analog tuning controls– V_tune_high and V_tune_low

    set to two flat operating points of the C-V curve

    tune_low

    tune_high

    T1

    x

    1

    varactors

    b

    2

    00

    GND

    1A

    VDD1B

    T2

    0

    x

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 42

    DCO Varactor Functional Banks• Process/voltage/temperature (PVT) calibration mode• Acquisition mode (during channel select)• Tracking mode (during the actual TX and RX)

    – Dithering to improve resolution

    dk C0

    ΔC

    Varactor model:

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 43

    GSM Bands• Single oscillator covers four GSM bands

    – ÷2/÷4 clock division

    824 849 869 894

    880 915 925 960

    GSM 850

    E-GSM 900

    1710 1785 1805 1880DCS 1800

    820-MHz 840 900860 880

    1850 1910 1930 1990PCS 1900

    1640

    920

    1680 1720 1760 1800 1840

    940

    1880

    960

    1920

    980

    1960

    1000

    2000

    -25- -25-

    -35-

    -75- -75-

    -60- -60-

    -35-

    3280 3360 3440 3520 3600 3680 3760 3840 3920 4000DCO core:

    935890

    (EU)

    (US)

    (EU)

    (US)

    ext ext

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 44

    DCO ASIC Cell

    • Truly digital I/O’s even at 1.8 GHz output – tr

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 45

    Digitally-Controlled Oscillator (DCO)ΣΔ Modulator and DCO Interface

    Time-to-Digital Converter (TDC)Digital Loop Filter (LF)All-Digital PLL (ADPLL)

    ADPLL Wideband Frequency Modulation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 46

    DCO Varactor Dithering Principle• Frequency resolution enhanced by high-speed

    dithering of the finest varactors• Produces spurious tone at the oscillator output with

    power inversely proportional to the dithering speed– Spur power = -20 log(β/2) [dBc], where β is a

    dimensionless ratio of the peak frequency deviation (low) to the modulating frequency (high)

    – e.g., β = 12 kHz / 225 MHz => -91 dBc spur

    1

    2

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 47

    Sigma-Delta DCO Dither• Improves time-averaged DCO frequency resolution

    over the basic Δf = 12 kHz– New resolution: 12 kHz / 28 = 47 Hz

    7 Thermometer encoding

    128RF out

    3

    FREF

    Tuningword

    fract. bits

    integer bits

    fract. average

    value

    (~225 MHz)

    7

    8

    15

    8

    (26 MHz)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 48

    ΣΔ Modulator• 2nd order MASH structure• Inspired by [4] (Riley’93)

    – Critical path retimed for high-speed operation• Addition of ΣΔ polynomial inside the DCO

    1

    2

    [13: TCAS-II Nov’03]

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 49

    Simulation Example of ΣΔ DCO Dither

    • Fixed-point DCO tuning word

    • Red: Integer DCO input word

    • Black: running average– Faithful

    reproduction of the input!

    • 2nd order MASH ΣΔ Modulator

    Input

    Output

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 50

    DCO Quantization Noise Derivation

    2

    sinc11)( ⎟⎟⎠

    ⎞⎜⎜⎝

    ⎛ Δ⎟⎟⎠

    ⎞⎜⎜⎝

    ⎛Δ

    Δ12

    =Δ2

    dithdith

    res

    ff

    ffffL

    n

    dithdith

    res

    ff

    ffffL

    2

    sin211)( ⎟⎟⎠

    ⎞⎜⎜⎝

    ⎛ Δ⎟⎟⎠

    ⎞⎜⎜⎝

    ⎛Δ

    Δ12

    =Δ2

    πPN due to ΣΔ:

    Phase noise (PN) due to white dither:

    12Δ

    =Δ2

    2 resf

    fσdith

    res

    dith

    ff

    ff

    fS

    ⋅Δ

    == ΔΔ122

    22σTotal Q-noise power: Q-noise spectrum:

    [17: JSSC Nov’05]

    PN is frequency shaped!

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 51

    Theoretical Phase Noise Spectra

    Δf = 12 kHz• fR = 26 MHz• fdith = 225

    MHz• 2nd order ΣΔ• Quantization

    noise energy at high-freq.

    [17: JSSC Nov’05]

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 52

    Measured DCO Phase Noise

    -165 dBc/Hz at 20 MHz offset

    Eliminates SAW filter

    915 MHz

    No degradation due to ΣΔ dithering

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 53

    Dynamic Element Matching• Unit-weighted varactors have slightly different capacitative

    values• As capacitors are turned on and off, non-linearities will be

    evident in the output• Dynamic element matching (DEM) to improve digital-to-

    frequency conversion linearity

    Progression of time (shown 8 cycles)

    8x8 varactor encoding matrix:

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 54

    Synchronously-Optimal Sampling

    Delay line adjustment

    DIV

    Tuning word

    1300 1400 1500 1600 1700 1800-68

    -67.5

    -67

    -66.5

    -66

    -65.5

    -65

    -64.5

    -64

    -63.5

    -63Phase noise at 400 kHz offset vs flyback delay

    Flyback delay [ps]

    Pha

    se n

    oise

    [dB

    ]

    • DCO is a time-variant system• Digital input controls the oscillating

    frequency by modifying the total capacitance

    • Oscillator input word changes only at precise DCO state where it causes least amount of perturbations

    Measured PN vs. round-trip delay

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 55

    Normalized DCO

    rfKKffK R

    DCO

    DCORnDCO ⋅== ˆ)(• KDCO is dependent upon PVT

    • KDCO therefore is tracked and normalized• Decouples the phase and frequency info from

    process, voltage and temperature

    DCO

    R

    DCO

    nDCO

    V v

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 56

    Digitally-Controlled Oscillator (DCO)ΣΔ Modulator and DCO Interface Time-to-Digital Converter (TDC)

    Digital Loop Filter (LF)All-Digital PLL (ADPLL)

    ADPLL Wideband Frequency Modulation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 57

    Time-to-digital Converter (TDC)• Quantized phase detector with resolution of about 20 ps• DCO clock passes through the inverter chain• Delayed outputs are sampled by FREF

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 58

    TDC Core Implementation• Novel pseudo-differential architecture• Insensitive to NMOS and PMOS mismatches• TDC resolution close to an inverter delay

    – 15 – 20 ps– Fastest logic-level regenerative delay in CMOS

    EN

    Cell #1 #2 #3 #48

    Q(1) Q(48)Q(2) Q(3)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 59

    0 10 20 30 40 50 60 70 800

    100

    200

    300

    400

    500

    600

    700

    800

    900

    1000

    Data - Clock [ps]

    Clk

    -Q [p

    s]

    Flipflop Metastability Curve

    MN1 MN2

    High-Resolution Flip-Flop• Adapted from [Nikolic, JSSC’00]• Symmetric along the vertical axis• Identical resolution of rising and falling edges• Light input loading

    MN6

    MN3 MN4

    MP1 MP4MP2 MP3

    QbQ

    D

    CLK

    D

    VDD

    Pulse Generator

    Slave Latch

    • Simulated metastability

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 60

    0 5 10 15 200

    5

    10

    15

    20

    CKV to FREF delay [LSB]

    Cod

    e

    TDC transfer function

    • Only even-odd nonlienarity

    FREF

    Measured TDC Transfer Function

    TDCCKV

    Dec

    ode

    tr

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 61

    TDC Normalization

    • Accurate calibration of the inverter delay

    Pseudo-Thermometer Code Detector

    (rise)(fall)5 5

    V invPeriod

    normalizationmultiplier V inv

    F

    Q(1) Q(48)

    D*(1) D(48)

    ][11

    kTN

    T VN

    kavgV

    avg

    ∑=

    =

    invV

    W

    tT

    F

    Δ=−

    /2ε

    • Expected output between 0.0 – 1.0 UI

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 62

    TDC System• Extended dynamic range• Resampler needed to

    avoid metastability

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 63

    TDC-Based All-Digital PLL• TDC replaces the conventional PFD and charge pump• TDC measures the actual FREF timestamps• FCW is a fixed-point frequency multiplication ratio• FREF timestamps compared with accumulated FCW

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 64

    Phase Noise Due to TDC

    • In-band phase noise at RF output [TCAS-II’06]

    RV

    inv

    ftL 1⋅⎟⎟

    ⎞⎜⎜⎝

    ⎛Τ

    Δ12

    )=

    22π2(

    – E.g., Δtinv=20ps, fv=1.8GHz, fR=26MHz, L = -97.8dBc/Hz– Good enough for GSM: can get only better

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 65

    Digitally-Controlled Oscillator (DCO)ΣΔ Modulator and DCO Interface Time-to-Digital Converter (TDC)

    Digital Loop Filter (LF)All-Digital PLL (ADPLL)

    ADPLL Wideband Frequency Modulation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 66

    Type-II Loop Filter

    QD0

    1

    2

    2

    E

    • No correlative detection spurs• Software programmed PLL loop:

    – Gentle transition of type-I to type-II• Two “knobs” α, ρ

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 67

    Loop filter with IIR Filtering• 4th order digital IIR loop filter to suppress the

    frequency reference and TDC quantization noise • Unconditionally stable IIR filter

    E

    ( )∏= −−3

    0 1i ii

    zz

    λλ

    2

    Q

    Single-pole IIR stage:][]1[)1(][ kxkyky ⋅+−⋅−= λλ

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 68

    Digitally-Controlled Oscillator (DCO)ΣΔ Modulator and DCO Interface Time-to-Digital Converter (TDC)

    Digital Loop Filter (LF)All-Digital PLL (ADPLL)

    ADPLL Wideband Frequency Modulation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 69

    All-Digital PLL (ADPLL)• Phase domain operation• Digitally synchronous fixed-point arithmetic• Phase signals cannot be corrupted by noise

    DCO

    RE

    CKV

    Retimed FREF (CKR)

    R

    V

    ])[][(][ kkRkR VRE εϕ +−=

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 70

    Modulo Arithmetic

    V

    E

    R

    V

    R

    0,2 (mod-2WI)

    • Theoretically, reference θR and variable θV phases grow without bound θR and θV implemented in modulo arithmetic to limit wordlength: WI = 8, WF = 15

    CKV clocks1 104 20 30 40

    4

    810

    14

    0 1 2 3 4

    4

    810

    14

    e.g., N=10, modulo-16

    CKR clocks

    RR[k]

    RV[i]

    (no phase error)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 71

    Reference Phase Retiming• DCO clock and FREF domains are not entirely synchronous

    despite being in phase lock• Variable and reference phases cannot be compared in

    hardware: metastability!• Solution: Oversampling FREF by CKV and using the

    resulting CKR

    FREF CKR

    CKV

    D Q CKV

    FREF

    CKR

    1 2 3time

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 72

    Frequency Response of 2nd-order PLL

    N

    DCODCO

    RV

    E

    R

    • IIR filter turned off• Type-II second-order PLL loop• “knobs” α, ρ s

    fsfsH RRol )()(

    ρα +=

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 73

    2nd-order PLL: Closed-Loop Response

    22

    2

    )(RR

    RRcl fsfs

    fsfNsHρα

    ρα++

    +=

    Rn fρω =

    • Closed-loop transfer function

    22

    2

    22)(

    nn

    nncl ss

    sNsHωξω

    ωξω++

    +=

    • Canonical two-pole control system

    ραξ

    21

    =Natural frequency Damping factor

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 74

    FREF/TDC Transfer Function

    • Type-II 2nd order PLL

    • Weak filtering

    ζ=0.1, peaking

    ζ=5, close to type-I

    ζ=1

    ζ=1/2

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 75

    DCO Transfer Function

    • Type-II 2nd order PLL

    • 20 dB/dec– Type-I

    • 40 dB/dec– Type-II

    • 1/f noise attenuation

    ζ=5, close to type-I

    ζ=0.1, peaking

    ζ=1ζ=1/2

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 76

    z-Domain Model of the ADPLL

    sf

    sfsHsH RRiirol ⎥⎦

    ⎤⎢⎣⎡ ⋅+⋅=

    ρα )()(

    • “knobs” α, ρ, λ1-4• Type-I or Type-II PLL loop• 1st through 6th order

    EV

    DCO

    V

    R

    R

    DCO

    R

    ( )∏= −−3

    0 1i ii

    zz

    λλ

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 77

    ADPLL Transfer Function• Type-II 6th-order PLL• Settings: α = 2-7, ρ = 2-15, λ = 2-[3 3 3 4]• Provides 33 dB of attenuation at 400 kHz• Provides 40 dB/dec filtering of 1/f DCO noise

    FREF / TDC path DCO path

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 78

    Measured Carrier Phase Noise

    • 824.2 MHz carrier

    • 26 MHz FREF• -92…-95

    dBc/Hz in-band phase noise

    • 0.5 deg rms phase noise– Spec: 5 deg

    • -122 dBc/Hz @ 400 kHz

    -93.4 dBc/Hz

    -106.2 dBc/Hz

    -121.6 dBc/Hz

    -92.7 dBc/Hz

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 79

    ADPLL with Zero Phase Restart

    • 20 us to settle

    Nor

    mal

    ized

    tuni

    ng w

    ord

    Latc

    h

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 80

    Gear Shifting of PLL Bandwidth

    0 1QD1

    2

    E

    1 2

    )( 1211 φφαφα Δ+⋅=⋅

    12

    1 1 φααφ ⎟⎟

    ⎞⎜⎜⎝

    ⎛−=Δ

    • Executed in tracking mode after the acquisition is completed

    • Normalized tuning word continuity before and after the event

    • Guarantees no frequency perturbation of the oscillator

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 81

    PLL Modes: Close-In Phase Noise

    • Gradual phase noise improvement with narrowing down the loop bandwidth

    • Little improvement after second gear shift when the DCO noise predominates

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 82

    Measured Trajectory during Settling• Gear shift at 23 us

    0 20 40 60-5

    0

    5

    10

    15

    Time [usec]

    DC

    O tu

    ning

    wor

    d

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 83

    Digitally-Controlled Oscillator (DCO)ΣΔ Modulator

    Time-to-Digital Converter (TDC)Digital Loop Filter (LF)All-Digital PLL (ADPLL)

    ADPLL Wideband Frequency Modulation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 84

    ADPLL with Wideband Modulation• Two-point frequency modulation

    – Direct feedforward path – y[k] directly drives the DCO– Compensating path – y[k] added to the channel FCW

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 85

    DCO Gain Estimation

    • Estimation of RF oscillator gain is critical in low-cost high-volume transceivers– RX: sets the loop bandwidth– TX: sets transfer function of the direct frequency modulation path– Tolerated gain estimation error from less than 1% (CDMA) to several

    % (GSM, Bluetooth)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 86

    −20 −15 −10 −5 0 5 10 15 200

    1

    2

    3

    4

    5

    6Effect of KDCO estimation error on ADPLL modulation

    KDCO estimation error [%]

    RM

    S p

    hase

    err

    or [d

    eg]

    1st IC sample2nd IC sampletheoretical

    KDCO Error Effect on GSM Modulation

    • Y-axis: RMS phase error [deg]

    • X-axis: KDCO estimation error [%]

    • KDCO estimation error of several % allowed

    GSM specification

    Measured

    Theory: z-domain

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 87

    Average M1samples

    underestimate

    overestimatecorrect

    estimate

    single-step feedforward jump

    timePLL forced

    frequency change

    Waiting W cycles for PLL to settle

    PLL settled PLL settled

    Average M2samples

    Just-in-time DCO Gain Estimation

    OTWfKDCO Δ

    Δ=ˆ

    • Forces Δf through the PLL• Measures steady-state ΔOTW• Could be repeated a few times for better estimation

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 88

    Measured GSM Output Spectrum

    • Meets GSM spec– 8 dB margin

    @ 400 kHz• Phase error

    – 1o rms (5ospec)

    – 3o peak (20o spec)

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 89

    All-Digital Polar TX Architecture

    A

    Cordicand polar

    signal processing

    I

    F

    Q

    s

    Modulator

    Modulator

    • Dense logic for digital signal processing

    • DCO for digital-to-frequency conversion

    • DPA for digital-to-RF-amplitude conversion

    Signal Attenuated replicas

    sinc2f/a Replicas

    s s

    s s

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 90

    Digitally-Controlled Power Amplifier• Array of unit-

    weighted MOS switches

    • Each switch contributes a conductance

    • Near class-E operation

    • Fine amplitude through ΣΔmodulation

    • The DPA can be thought of as an RF DAC, where “A” is RF “amplitude”

    ICex

    tern

    al

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 91

    Measured EDGE Modulation

    • 1.24% meets the rms EVM spec of 9%

    • 3.67% meets the peak EVM spec of 30%

    • Meets the spectral mask with 10 dB margin

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 92

    Single-Chip GSM Radio in 90 nm

    DPA

    Digital logic

    Discretetime

    Digital logic

    Front-endModule

    LNAA/D

    Current sampler

    AMDCXO

    XO• 90 nm CMOS• All-digital PLL• All-digital TX• Digitally-

    intensive RX• w/o

    – 2-W PA– Battery

    management

    VBATBattery Management

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 93

    Single-Chip GSM Radio in 90 nmDRPDRP

    • First single-chip GSM radio• In volume production• Logic density of 250 kgates/mm2• SRAM density of 1 Mbits/mm2

    Memory

    Digital

    Analog

    RF

    DisplayConnector

    Flash16Mb

    AudioConnector

    ChargerConnector GSM/GPRS SoC

    PA

    DisplayConnector

    Flash16Mb

    AudioConnector

    ChargerConnector GSM/GPRS SoC

    PA

    DisplayConnector

    Flash16Mb

    AudioConnector

    ChargerConnector GSM/GPRS SoC

    PA

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 94

    Conclusions• Survey of RF wireless frequency synthesizers• Highly-scaled CMOS is extremely unfriendly for RF

    and analog designs• Radio architecture must transform voltage-domain

    circuits into time-domain operation and high-speed digital logic

    • All-digital PLL (ADPLL)– ADPLL features wideband frequency modulation

    • All-digital and digitally-intensive architecture in nanometer CMOS can replace traditional RF circuits

    • Performance demonstrated in a commercial single-chip GSM radio

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 95

    General References[1] J. Tierney, C. M. Radar, B. Gold, “A digital frequency synthesizer,” IEEE Trans. on Audio Electroaccoust., vol. AU-19, pp. 48–57, Mar 1971.

    [2] F. M. Gardner, “Charge-pump phase-locked loops,” IEEE Trans. on Communications, vol. COMM-28, pp. 1849–1858, Nov. 1980.

    [3] A. Kajiwara and M. Nakagawa, “A new PLL frequency synthesizer with high switching speed,” Trans. on Vehicular Technology, vol. 41, no. 4, pp. 407–413, Nov 1992.

    [4] T. Riley, M. Copeland, T. Kwasniewski, “Delta-sigma modulation in fractional-N frequency synthesis,” IEEE Journal of Solid-State Circuits, vol. 28, no. 5, pp. 553–559, May 1993.

    [5] J. Dunning, G. Garcia, J. Lundberg, E. Nuckolls, “An all-digital phase-locked loop with 50-cycle lock time suitable for high performance microprocessors,” Journal of Solid-State Circuits, vol. 30, pp. 412–422, Apr. 1995.

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 96

    General References[6] M. H. Perrott, M. D. Trott, C. G. Sodini, “A modeling approach for S-D fractional-N frequency synthesizers allowing straightforward noise analysis,”IEEE Journal of Solid-State Circuits, vol. 37, pp. 1028–1038, Aug. 2002.[7] M. H. Perrott, R. T. Rex, and Y. Huang, “Digitally-synthesized loop filter circuit particularly useful for a phase locked loop,” US patent 6,630,868, issued Oct. 7, 2003.[8] J. Lin, B. Haroun, T. Foo, “A PVT tolerant 0.18MHz to 600MHz self-calibrated digital PLL in 90nm CMOS process,” Proc. of IEEE Solid-State Circuits Conf., sec. 26.10, pp. 488–489, 541, Feb. 2004.[9] L. Xiu, “A Novel All Digital Phase Lock Loop with Software Adaptive Filter,” IEEE Journal of Solid-State Circuits, vol. 39, no. 3, pp. 476-483, Mar. 2004.[10] A. A. Abidi, “RF CMOS comes of age,” IEEE Journal of Solid-State Circuits, vol. 39, iss. 4, pp. 549–561, April 2004.[11] W. Krenik, D. Buss and P. Rickert, “Cellular handset integration – SIP vs. SOC,” Proc. of 2004 IEEE Custom IC Conf., pp. 63–70, Oct. 2004.

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 97

    References on ADPLL[12] R. B. Staszewski, C.-M. Hung, D. Leipold, et al., “A first multigigahertzdigitally controlled oscillator for wireless applications,” IEEE Trans. on Microwave Theory and Techniques, vol. 51, no. 11, pp. 2154–2164, Nov. 2003.

    [13] R. B. Staszewski, D. Leipold, K Muhammad, et al., “Digitally controlled oscillator (DCO)-based architecture for RF frequency synthesis in a deep-submicrometer CMOS process,” IEEE Trans. on Circuits and Systems II, vol. 50, no. 11, pp. 815–828, Nov. 2003.

    [14] R. B. Staszewski, K. Muhammad, D. Leipold, et al., “All-digital TX frequency synthesizer and discrete-time receiver for Bluetooth radio in 130-nm CMOS,” IEEE Journal of Solid-State Circuits, vol. 39, iss. 12, pp. 2278–2291, Dec. 2004.

    [15] R. B. Staszewski and P. T. Balsara, “Phase-domain all-digital phase-locked loop,” IEEE Trans. on Circuits and Systems II, vol. 52, no. 3, pp. 159–163, Mar. 2005.

  • R. Bogdan Staszewski, DCAS Seminar, 21 Feb 2007 98

    References on ADPLL[16] R. B. Staszewski, C. Fernando, and P. T. Balsara, “Event-driven simulation and modeling of phase noise of an RF oscillator,” IEEE Trans. on Circuits and Systems I, vol. 52, no. 4, pp. 723–733, Apr. 2005.[17] R. B. Staszewski, C.-M. Hung, N. Barton, M.-C. Lee, and D. Leipold, “A digitally-controlled oscillator in a 90 nm digital CMOS process for mobile phones,” IEEE Journal of Solid-State Circuits, vol. 40, no. 11, pp. 2203–2211, Nov. 2005.[18] R. B. Staszewski, J. Wallberg, S. Rezeq, et al., “All-digital PLL and transmitter for mobile phones,” IEEE Journal of Solid-State Circuits, vol. 40, iss. 12, pp. 2469–2482, Dec. 2005.[19] R. B. Staszewski, S. Vemulapalli, P. Vallur, J. Wallberg, and P. T. Balsara, “1.3 V 20 ps time-to-digital converter for frequency synthesis in 90-nm CMOS,” IEEE Trans. on Circuits and Systems II, vol. 53, no. 3, pp. 220–224, Mar. 2006.[20] R. B. Staszewski and P. T. Balsara, “All-Digital Frequency Synthesizer in Deep-Submicron CMOS,” New Jersey: John Wiley & Sons, 2006.

    OutlineLocal Oscillator in a Radio TransceiverLocal OscillatorPhase Noise in OscillatorsPhase Noise ProfileOscillator Spurious TonesLO in TransmitterLO in ReceiverSynchronization of a Mobile StationFrequency Synthesizer RankingDirect Digital Synthesis (DDS)Indirect Synthesis: Charge-pump PLLFractional-N SynthesizerSigma-Delta Fractional-N DividerHybrid of DDS and PLLMotivation for (All?)-Digital PLLPhase Domain OperationPreview: All-Digital PLLSoC Drives Cost ReductionWhy Single-Chip Radio?Technology Trends for 45 nm CMOSNanoscale CMOS Technology TrendsNew ParadigmRules of nm-Scale CMOSTrend towards Digitization (e.g.#1)Mitigation through Architecture (e.g.#1)Flying-Adder Frequency/Phase SynthesisSimple Idea of Phase DomainIssues with the Simple IdeaProposed SolutionHardware Needed for Digital OperationMOS VaractorDigital Tuning of DCODCO CoreDCO Varactor Functional BanksGSM BandsDCO ASIC CellDCO Varactor Dithering PrincipleSigma-Delta DCO DitherSD ModulatorSimulation Example of SD DCO DitherDCO Quantization Noise DerivationTheoretical Phase Noise SpectraMeasured DCO Phase NoiseDynamic Element MatchingSynchronously-Optimal SamplingNormalized DCOTime-to-digital Converter (TDC)TDC Core ImplementationHigh-Resolution Flip-FlopMeasured TDC Transfer FunctionTDC NormalizationTDC SystemTDC-Based All-Digital PLLPhase Noise Due to TDCType-II Loop FilterLoop filter with IIR FilteringAll-Digital PLL (ADPLL)Modulo ArithmeticReference Phase RetimingFrequency Response of 2nd-order PLL2nd-order PLL: Closed-Loop ResponseFREF/TDC Transfer FunctionDCO Transfer Functionz-Domain Model of the ADPLLADPLL Transfer FunctionMeasured Carrier Phase Noise ADPLL with Zero Phase RestartGear Shifting of PLL BandwidthPLL Modes: Close-In Phase NoiseMeasured Trajectory during SettlingADPLL with Wideband ModulationDCO Gain EstimationKDCO Error Effect on GSM ModulationJust-in-time DCO Gain EstimationMeasured GSM Output SpectrumAll-Digital Polar TX ArchitectureDigitally-Controlled Power AmplifierMeasured EDGE ModulationSingle-Chip GSM Radio in 90 nmSingle-Chip GSM Radio in 90 nmConclusionsGeneral ReferencesGeneral ReferencesReferences on ADPLLReferences on ADPLL