차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략...

26
차세대 차세대 반도체 반도체 IT IT전략기술로드맵 전략기술로드맵 2015 2015 차세대 차세대 반도체 반도체 IT IT전략기술로드맵 전략기술로드맵 2015 2015 2009. 7. 27 2009. 7. 27 IT IT전략기술로드맵 전략기술로드맵 2015 2015 IT IT전략기술로드맵 전략기술로드맵 2015 2015 반도체로드맵위원회 반도체로드맵위원회

Transcript of 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략...

Page 1: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

차세대차세대 반도체반도체

ITIT전략기술로드맵전략기술로드맵 20152015

차세대차세대 반도체반도체

ITIT전략기술로드맵전략기술로드맵 20152015

2009. 7. 272009. 7. 27

ITIT전략기술로드맵전략기술로드맵 20152015ITIT전략기술로드맵전략기술로드맵 20152015

반도체로드맵위원회반도체로드맵위원회

Page 2: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

I 개념 및 범위

II 국내외 산업 및 경쟁국 동향

목 차목 차

II 국내외 산업 및 경쟁국 동향

III 현 좌표 진단

IV 추진목표 및 전략

V R&D 로드맵 및 추진체계V R&D 로드맵 및 추진체계

’10년도 기술확보 방향IV

기대효과IV I

Page 3: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

I. 개념 및 범위I. 개념 및 범위

고성능/저전력, 경박단소를 기반으로 모바일기기, 자동차, 디지털가전 등에사용되는 능동형 전자소자로서, 시스템산업과 서비스산업의 고부가가치화 유도

시스템반도체, 특화디바이스, 메모리, 공정/장비/소재/패키지/PCB 기술로 구분

시스템 구현에 필요한 다기능 집적 반도체 파워디바이스/이차전지/센서 등 고부가가치 소자

시스템반도체

특화디바이스그린 IT SoC, 자동차 SoC, 정보통신/

가전 SoC, 시스템반도체 설계기술

파워디바이스, 광반도체디바이스, 고주파디바이스, 이차전지, 센서소자

반도체로드맵 위원회

정보기억 능력이 구현된 소자 반도체를 생산하기 위한 소재,장비,패키징 기술

메모리 공정/장비/소재 반도체공정, 반도체재료, 반도체

장비, 페키지 및 PCB휘발성메모리, 비휘발성메모리, 이머징메모리

(1/23)

Page 4: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

II. 국내외 산업 및 경쟁국 동향(1/3)II. 국내외 산업 및 경쟁국 동향(1/3)

세계 반도체 시장은 2007년을 정점으로 메모리 가격 하락, 글로벌 경기침체로감소세이지만, 2009년을 기점으로 10% 이상의 고속성장이 예상되는 황금시장

<단위 : 억불>

반도체로드맵 위원회(2/23)

Page 5: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

II. 국내외 산업 및 경쟁국 동향(2/3)II. 국내외 산업 및 경쟁국 동향(2/3)

메모리

<단위 : 억불>

시스템반도체수출 259

수출

메모리 중심의 산업구조에 따른 분야별 불균형 심화

장비전력반도체

산업내산업내불균형불균형 심각심각

2004 2005 2006 2007 2008

181 189

247272

311

14 14 14 13 15

수출

수입

2004 2005 2006 2007 2008

28 28 32 36 41

180198

222241

259수출

수입

반도체로드맵 위원회

장비전력반도체

2004 2005 2006 2007 2008

1.2 1.2 1.2 1.3 1.5

20 2123

2528수출

수입

2004 2005 2006 2007 2008

4.6 4.6 8.1 9.4 9.8

41.3

5664.7 67.6 70.3수출

수입

(3/23)

Page 6: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

II. 국내외 산업 및 경쟁력 동향(3/3)II. 국내외 산업 및 경쟁력 동향(3/3)

NSF/NNI 주도로 미래 주도권 확보를 위한 신기술 창출

정 부 : 미세기술, 소재, 전자기기, 헬스케어, 환경, 에너지, 제조,

해외 경쟁국 반도체 경쟁력 강화 현황

시큐리티 등의 원천기술확보에 주력

반도체 : SEMATECH, SRC, 대학센터 등으로 기업과 국가역할 구분미국

일본

7차 연구개발 framework(2007~2013)으로 지식사회 선도

5대 전략기술로드맵을 통한 융·복합 신시장 창출 추구

정 부 : 정보통신, life-Science, 환경·에너지, 나노·소재, 제조기술

반도체 : 공정기술(Selete, AIST 등), 인력양성(STARC), 시스템반도체

(Application 프로젝트) 등을 통해 글로벌화에 안간힘

반도체로드맵 위원회

전자산업 강국 주도 → 파운드리 클러스터 기반 Fabless 산업 육성

→ Si-Soft 프로젝트 추진(고급인력양성 : 설계교수, 칩제작지원)

EU

대만

정 부 : 건강, 바이오, 정보통신, 나노·소재, 에너지, 환경, 운송, 안전,

우주 등에 대한 지역내 공동 R&D 추구

반도체 : MEDEA+, IMEC 등을 통해 정보통신과 나노기술 주도

(4/23)

Page 7: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

III. 현 좌표 진단(1/2)III. 현 좌표 진단(1/2)

l TFT-LCD 세계1위 원동력(2009, 52%) : LCD 구동칩첨단산업

추진 필요성

l 디지털카메라, MP3 등의 전력소모와 동작속도 개선 : 플래시메모리

l 미세 반도체 공정기술 : 신소재‧첨단재료 산업발전 견인

l 나노소자, 에너지소자 등과 같이 IT, NT, ET 융합기술 발전토대 마련

l 생산성위주의 기존반도체à 에너지절감/친환경 그린반도체로 변화

경쟁력 원천

新 산업

견 인

그린반도체

반도체로드맵 위원회

l IT 그린반도체 기반 신 산업 모델 개발 및 신시장 창출

l 기존기술에 이종기술의 접목을 통해 기존제품 성능 고도화

l 자동차의 전자시스템 개발을 통한 『Smart & Green-Car』시대 주도

그린반도체

육 성

전통산업의

고부가가치화

(5/23)

Page 8: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

III. 현 좌표 진단(2/2)III. 현 좌표 진단(2/2)

반도체 산업의 당면과제 및 해결 방향

시스템반도체 환경 및 통상시장경쟁력일등 메모리 반도체산업구조 취약 이슈 대두

원천기술 부재로후발국의 위협에취약한 메모리산업구조

선행기술 개발 미흡

환경 및 통상이슈[표준화 /특허분쟁포함]가 반도체산업경쟁력 저해 요인으로 작용

수요업체와의연계기회 부족

메모리 기반기술활용 미흡

기반 확보 미비

국내 장비·재료업체의 신뢰성 취약

소자 업체별 구매선의 계열화

산업의 지속 불투명

반도체로드맵 위원회

공정혁신 및 원천기술로메모리 시장 선도

수요와 공급이 연계된시스템반도체개발 및 활성화

국제규범의 환경, 통상이슈에 능동적 대응

2015년 세계2강 반도체 강국 건설2015년 세계2강 반도체 강국 건설

수요기업과 장비·재료업체간 동반발전

(6/23)

Page 9: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

IV. 추진목표 및 전략IV. 추진목표 및 전략

국정목표비전 2015년, 세계 2강 반도체 강국 건설2015년, 세계 2강 반도체 강국 건설

목표

기술경쟁력강화

유망제품전략적 개발

글로벌마케팅역량강화

수출 : 328억불(08년) ⇒ 760억불 (15년)

시장점유율: 9.8% (08년) ⇒ 20% (15년, 메모리:55%, SoC:12%)

국산화율: 장비: 20%(08년) ⇒ 50%(15년)

반도체로드맵 위원회

추진전략 시스템 반도체 경쟁력 강화

차세대메모리 기반기술선도

국제 표준화 적극대응 및핵심 IP 기술개발

특화기술 육성 및 장비/소재 취약성 극복

대형시장 창출 제품개발

미래산업 선도 제품개발

수입대체 반도체 제품개발

IP 기반 표준플랫폼 및

파운드리 특화소자 개발

기술의 해외진출 활성화

선도기술확보 국제공동 협력강화

중소/팹리스 해외진출기반강화

해외 인적 네트워크 및인프라 구축

(7/23)

Page 10: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

V. R&D 로드맵 및 추진체계(1/2)V. R&D 로드맵 및 추진체계(1/2)2009 2010 2011 2012 2013 2014 2015

서비스서비스멀티밴드/멀티모드 단말

친환경 하이브리드 자동차

유비쿼터스 단말

첨단 안전주행 지능형 자동차

시스템

반도체

시스템

반도체

메모리메모리수직형 STT-MRAM 개발

그린 IT SoC 기술

저전력 정보통신 SoC 기술 스마트 통방융합 SoC 기술

고성능/지능형 그린 IT SoC 기술

차세대 비휘발성 메모리 원천기술

STT-MRAM 상용기술

20nm 비휘발성 메모리 상용기술 20nm급 낸드 상용화

30nm 포스트 D램 상용화

반도체로드맵 위원회

특화

디바이스

특화

디바이스

공정/

재료/

장비

공정/

재료/

장비 고신뢰성 고집적 패키지 및 PCB 소재/공정 개발

대용량 파워디바이스/정전기 보호소자기술

Rollable 이차전지 소재, 부품 기술

집적형 파워디바이스/초소형 정전기 보호소자 기술

전극 프린팅 기술 개발 이차전지 조립 공정기술

300mm 기판, 40nm 소자용 공정/소재/장비 개발 450mm 기판, 22nm 소자용 공정/소재/장비 개발

초박막 3D 복합구조 패키지 및 PCB 소재/공정 개발

(8/23)

Page 11: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

V. R&D 로드맵 및 추진체계(2/2)V. R&D 로드맵 및 추진체계(2/2)

연구계연구계

산업계산업계종합반도체기업종합반도체기업

사양/제품/서비스 총괄

출연연구소출연연구소

핵심×원천기술/표준화

대 학대 학

팹리스/파운드리기업팹리스/파운드리기업

민간연구소민간연구소

대 학대 학

설계기술/파운드리서비스

상용화기술/표준화

반도체로드맵 위원회

학계학계대 학대 학

소재/재료/IP 기반기술

대 학대 학

전문인력 양성

(9/23)

Page 12: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

VI. 2010년도 기술확보 방향VI. 2010년도 기술확보 방향

시스템반도체

중점 분야별 추진 전략 기술 확보 목표

저전력 정보통신 SoC 기술

그린 IT SoC 기술

멀티밴드/모드 통신 기술

저전력 다중 프로세서 기술

특화

반도체

메모리

친환경 파워디바이스 기술연구 고효율/용량 IGBT/MOS, 고내압 ESD 기술

밀리미터파 소자/부품 및 MEMS oscillator

그린 IT SoC 기술 에너지 고효율 그린반도체 기술

저전력 상용 SSD 개발

차세대 이머징메모리 분야 국제공동 협력사업

(IMEC 및 SEMATECH 등)

20nm급 낸드 플래시 적용 대용량 SSD

ReRAM, 수직형 STT-MRAM 등

RF 및 고주파디바이스 기술연구

반도체로드맵 위원회

특화디바이스

공정/장비/소재

수질/대기 센서의 반도체화 복합화 연구 탁도, pH, TVOC 센서 및 ASIC 기술

밀리미터파 소자/부품 및 MEMS oscillator

산업용 및 자동차용 전력반도체 공정개발

3D 시스템 패키징 및 PCB 기술 개발

100V, 10A급 BCD소자 및 디지털공정기술

소자내장형 기판개발 및 열/EMC 보장기술

22~40nm 해상력 보유한 PR 개발Immersion ArF PR 기술

RF 및 고주파디바이스 기술연구

(10/23)

Page 13: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

VII. 기대효과VII. 기대효과

2015년, 세계 2강의 반도체 강국 도약- 메모리와 시스템반도체 동반 일류화 -

시장점유: 12%(’08년) ⇒ 20%(’18년)

부가가치: 18.6조(’08년) ⇒ 43.4조(’18년)

고용유발: 23만명(’08년) ⇒ 53만명(’18년)

기반조성 경쟁제품

신시장신사회창출

IT+NT+ET융합

차세대반도체

기술개발

반도체로드맵 위원회

3대 성공요소시스템반도체

특화디바이스

공정/장비/재료

메모리그린 IT SoC

자동차용 반도체

정보통신/가전용 SoC

시스템 설계기술

20nm급 플래시메모리

포스트 D램

대용량 SSD

파워디바이스

광반도체 디바이스

고주파디바이스

이차전지

센서디바이스

Tr., Cap. 등 제조

Sub., Coat. 등 소재

Package 및 PCB

TEST

Etch, Clean. 등 기술

(11/23)

Page 14: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

1시스템반도체 친환경 절전형 시스템반도체 기술

첨부1. 세부 추진계획(1/4)첨부1. 세부 추진계획(1/4)

테마명 주요내용

그린 IT SoCŸ 고효율 에너지 관리/저장/제어 SoC 기술 개발

Ÿ 녹색 산업의 수요에 부응하는 개발 추진

자동차용 SoCŸ 그린 자동차 및 안전 주행 기술 개발

반도체로드맵 위원회

자동차용 SoCŸ 미래형 자동차 산업을 선도하기 위한 SoC 적기 개발

정보통신/가전용 SoCŸ 저전력 멀티미디어/통신/가전 SoC 기술 개발

Ÿ 대형 시장 창출을 위한 SoC 개발 추진

시스템반도체 설계Ÿ TTM 단축을 위한 통합 SoC 플랫폼 기술 개발Ÿ 아날로그, 다중 프로세서 등 핵심 IP 확보

(12/23)

Page 15: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

2메모리 차세대 메모리 핵심×원천 기술

첨부1. 세부 추진계획(2/4)첨부1. 세부 추진계획(2/4)

테마명 주요내용

휘발성메모리

Ÿ 초고속 Double data rate (DDR) 3/4 구동방식을이용한 DRAM 고속 동작기술

Ÿ 저 전력소비 mobile DRAM 기술

Ÿ Multi-level cell (MLC) 구동 기술

반도체로드맵 위원회

비휘발성메모리 Ÿ 20nm 이하 초고집적 NAND flash를 위한 고신뢰성3D 셀 소자, 공정, 회로 기술

이머징메모리

Ÿ 모바일용 DRAM 및 낸드 제품 대체 고신뢰성PCRAM 특성 확보

Ÿ 30nm급 이하 고집적 비휘발성 재료, 공정, 소자 및회로 설계 원천기술 확보

(13/23)

Page 16: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

3특화디바이스 차세대 특화디바이스 핵심×원천기술

첨부1. 세부 추진계획(3/4)첨부1. 세부 추진계획(3/4)

테마명 주요내용

파워 디바이스

• 실리콘반도체 기반의 대용량/고속 스위칭 파워디바이스

개발 및 가전, 스마트 그리드 등에 활용

• 화합물반도체 기반의 고내압/고주파 파워디바이스 개발

및 자동차, 전동차 등에 활용

이차전지• Rollable/고에너지/회로 임베디드형 소형 이차전지 기술

• 모바일용 무선충전 초고용량 커패시터 기술

반도체로드맵 위원회

고주파 디바이스• 전력증폭기 및 RF 트랜시버 기술

• Tunable Integrated MEMS oscillator 기술

센서• 고온/고압 압력센서 및 6축 관성센서 기술

• 수온, 탁도 pH, 지상감지센서 등 수질/대기 환경센서 기술

광반도체 디바이스• 초고속 고효율 광원/광반도체 기술

• 통신용 광 능동/수동 집적모듈 기술

(14/23)

Page 17: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

4공정/장비/재료 공정/장비/소재/패키지/PCB

첨부1. 세부 추진계획(4/4)첨부1. 세부 추진계획(4/4)

테마명 주요내용

반도체공정기술Ÿ 구조 절연막 제조, Transistor 제조, capacitor 제조, 식

각 공정 기술, 노광(패턴닝)기술, 다층배선기술, 전력반도체 공정기술

반도체장비기술Ÿ 패턴닝 장비,에칭 및 세정장비, 증착장비, 파티클/오염

측정장비, 박막측정 장비, 패키징 장비, 신뢰성장비

반도체로드맵 위원회

반도체장비기술측정장비, 박막측정 장비, 패키징 장비, 신뢰성장비

반도체소재기술Ÿ 대구경 Si Wafer, 공정용 PR 소재, ALD/CVD 전구체

가스, 평탄화 소재

패키지 및 PCBŸ Flip chip package, Embedded system package, 3D

stacked SiP, 하이브리드 융합 패키지, 고집적 PCB, 고방열 고신뢰성 PCB, 플렉시블 PCB

(15/23)

Page 18: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

중분류(1단계) 소분류(2단계) 핵심요소기술(3단계)

그린 IT SoC

PM(Power Management) SoCSMPS 컨버터 기술, Non SMPS 컨버터 기술고효율 충방전 제어 기술, PMS 기술, BMIC 기술

EM(Energy Management) SoC에너지 변환 기술, 에너지 저장 기술에너지 관리/제어 기술, 에너지 소비 모니터링 기술, EMS 기술

BM(Battery Management) SoC배터리 모듈 제어 기술, 배터리 pack 제어 기술

첨부2. 기술분류 체계도(1/4)첨부2. 기술분류 체계도(1/4)

시스템반도체

그린 IT SoC BM(Battery Management) SoC배터리 모듈 제어 기술, 배터리 pack 제어 기술고효율 전력 변환 기술, BMS 기술, 고 신뢰성 기술

마이크로 에너지 SoC에너지 수확기술, 에너지 저장 기술, 에너지 관리/제어 기술, 에너지 모듈 기술에너지 시스템 기술

AMI(Advanced Metering Infrastructure) SoCPower Quality 측정기술, 실시간 전력 미터링 기술전력거래 기술, 양방향 통신기술, AMS 기술

자동차용 SoC

Safety&Security멀티모드 빔포밍 ROC, 차세대 자동차 Safety SoC 개발, 원거리 레이더센서 SoC지능형 차선추종 및 이탈방지 SoC, 지능형 전조등 제어 SoC전방향 보행자 감지 & 추돌방지 SoC

신뢰성 및 시험 평가반도체 신뢰성 시험평가, Virtual Qualification 기술실탑재 동작성능 테스트용 HILS, QA100기반의 ESD/Latch-Up Test

인포테인먼트AMS 플랫폼 SoC, 차량용 헤드업 디스플레이 SoC 플랫폼동적 재구성형 Instrument Cluster SoC 플랫폼Multi-Modal User Interface 플랫폼 SoC

Body(네트워크)Flexray-Can Gateway SoC, Flexray controller 및 Transceiver IC멀티모드 Optic 트랜시버 IC 개발무선기반 센서네트워크 SoC, 레이더/IR 융합센서 신호처리 SoC

파워트레인/샤시 센서 통합관리 제어를 위한 SoC 플랫폼, Hybrid용 Powertrain IC

반도체로드맵 위원회

파워트레인/샤시 센서 통합관리 제어를 위한 SoC 플랫폼, Hybrid용 Powertrain IC

정보통신/가전용 SoC

무선통신 SoCMm-Wave CMOS RF 기술, 다채널/다중모드 처리기술인체통신기술, 근거리 무선통신 기술, 고속 무선통신 기술

유선통신 SoC 초고속 인터넷(유무선통합), 네크웤(BcN), FTTH 광전 기술, 채널코딩 및 디코딩 기술

DTV SoCDTV 튜너 기술, DTV 변조 및 채널 코덱 기술입체 TV 기술, 모바일 TV 기술, 콘텐트 보호 기술

멀티미디어 SoC 오디오 처리, 음성 처리, 그래픽 처리, 영상 처리, 영상 인식

시스템반도체 설계 기술

시스템 반도체 구조 기술SoC 모델링 기술, FPGA 구조 기술, 응용 소프트웨어 기술SoC 플랫폼 구조 기술, HW/SW 구조 기술

프로세서 기술코어 기술, 프로세서 아키텍쳐 기술, 컴파일러 기술운영체제 기술, 응용소프트웨어 및 검증 기술

저전력 설계 기술알고리즘 설계 기술, 구조 설계 기술, 로직설계기술회로 및 라이브러리 설계 기술, 최적화 기술

(16/23)

Page 19: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

중분류(1단계) 소분류(2단계) 핵심요소기술(3단계)

휘발성메모리

DRAM초고속 Double data rate (DDR) 3/4 구동방식을 이용한 DRAM 고속 동작기술저 전력소비 mobile DRAM 기술

SRAM저전력 스위칭 구현 공정,소자,회로 기술고집적화를 위한 3차원 공정,소자, 회로 기술

첨부2. 기술분류 체계도(2/4)첨부2. 기술분류 체계도(2/4)

메모리반도체

고집적화를 위한 3차원 공정,소자, 회로 기술

비휘발성메모리

NAND multi-level cell (MLC) 구동 기술20nm 이하 초고집적 NAND flash를 위한 고신뢰성 3D 셀 소자, 공정, 회로 기술

NOR고집적화에 따른 Cell 산포 축소 공정,소자,회로기술고신뢰성(cycling) 공정,소자, 회로 기술

이머징메모리

NFGM(Nano Floating Gate Memory)

테라비트급 고집적 나노 플로팅 게이트메모리 소재, 공정,소자,회로 기술

TBM(Tunneling Gate Memory)

테라비트급 고집적 터널링베리어 메모리 구현 공정, 소자, 회로 기술

PoRAM(Polymer RAM)

고신뢰성 플렉서블 저분자 및 고분자 메모리 소재,공정, 소자 및 회로 기술

FFM(Ferroelectric Field Memory)

저 전압, 고신뢰성 1Transistor 강유전 고분자 메모리 소재, 공정, 소자, 회로기술

반도체로드맵 위원회

이머징메모리 (Ferroelectric Field Memory)

ReRAM(Resistive Change RAM)

테라비트급 저항변화 메모리의 신소재 공정, 소자 및 1R/multi-bit 회로기술

PCRAM(Phase Change RAM)

30nm급 이하 상변화 고집적화, 고속 및 저전력, 멀티 비트 동작 구현 공정,소자,회로기술

STT-MRAM(Spin Transfer Torque Magnetic

RAM)30nm급 이하 고집적 수직형 STT-MRAM 자성 재료, 공정, 소자 및 회로 설계기술

(17/23)

Page 20: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

첨부2. 기술분류 체계도(3/4)첨부2. 기술분류 체계도(3/4)

중분류(1단계) 소분류(2단계) 핵심요소기술(3단계)

파워디바이스

Si 기반 고용량/고속 스위칭 파워 디바이스Trench Field Stop IGBT, Super Junction IGBTLow Qg 고집적 Trench Power MOSFET, Super Junction Power MOSFET

화합물 반도체 기반 고주파 파워 디바이스 SiC MOSFET, GaN HEMT, Cascode Hybrid Device (SiC MOSFET + Si MOSFET)

초소형 고내압 정전기 보호 디바이스High Holding Voltage, Advanced ggNMOSAdvanced SCR(Silicon Controlled Rectifier), Advanced LIGBT

특화디바이스

초소형 고내압 정전기 보호 디바이스Advanced SCR(Silicon Controlled Rectifier), Advanced LIGBT

집적형 차세대 파워 디바이스나노급 집적형 파워 LDMOSFET, Low Qg 집적형 파워 TDMOSFET고효율 스위칭 소자 및 회로 기술

이차전지

Rollable 이차전지Rollable 이차전지용 나노소재 기술, Rollable 이차전지용 부품 기술전극 제조 프린팅 기술, Rollable 이차전지 설계.조립.공정 기술 개발

회로 임베디드형 소형 이차전지전극 소재 기술, 전극 제조 기술고효율 전지 제조공정 및 제조기술 개발, 전지 패키징 기술

고에너지형 이차전지고용량 전극 소재 기술, 난연성 전해액 기술전극 및 전지 제조 기술, 안전성 및 신뢰성 평가

모바일 기기용 무선충전 초고용량 커패시터활성탄 전극 소재 기공제어 기술, 활성탄 전극 전해질 계면 제어 기술셀 설계 및 제조 기술, 고속 무선 충전 기술, 셀 특성 평가 및 적용기술

인쇄형 모바일용 고출력 초고용량 커패시터고용량 복합소재, 슬러리 기술, 전극 제조 프린팅 기술고체전해질 기술, 셀 조립기술, 셀 특성 평가 및 적용 기술

고주파 디바이스

전력증폭기 스위칭 모드 전력 증폭기, 고효율 기술, 선형성 증가 기술, PAM 기술

RF 트랜시버저잡음 수신기 설계 기술, 고선형 송신기 설계 기술밀리미터파 대역 트랜시버 기술, 인체음향통신기술, Digital RF 기술

반도체로드맵 위원회

고주파 디바이스수동디바이스

Filter/Duplexer, Divider/Combinder, CouplerIsolator/circulator, On-chip Antenna

Tunable Integrated MEMS oscillatorMEMS resonator 설계 및 Wafer level Vacuum cavity 형성 기술MEMS 공진기 CMOS 집적회로 기술

센서물리센서 소자 기술 고온/고압 압력센서 기술, 6축 관성센서 기술

수질/대기 환경 센서 기술광학식 수질환경센서기술, 수질환경용 pH센서 기술수질환경 모니터링용 IMS 기술, 기상감지센서 기술

광반도체 디바이스

초고속 고효율 광원 기술 EML 기술, 반도체 단일집적 기술, TOSA/ROSA 기술

차세대 고효율 광반도체 기술 다채널 광증폭 소자, R-SOA 기술, 양자점 레이저 기술

통신용 광 능동/수동 집적모듈PLC 하이브리드 집적 기술, WDM 필터 설계 및 제작 기술집적 광모듈 전자 회로 설계 기술

THz 광반도체 기술 Dual mode laser 기술, 광대역 포토믹서 기술, 테라헤르츠 TRx 모듈 기술

(18/23)

Page 21: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

첨부2. 기술분류 체계도(4/4)첨부2. 기술분류 체계도(4/4)

공정/장비/소재/패키지 및 PCB

중분류(1단계) 소분류(2단계) 핵심요소기술(3단계)

반도체 공정 기술

구조절연막 제조 나노크기 불순물 제어, Gap fil, Junction isolation, damage 저감

Transistor 제조 Metal gate, high-k 절연막, Salicide, Elevated S/D 공정 기술, SiC 로칼 스트레인 기술

노광기술 ArF Double patterning 기술,EUV 미세화 기술, 예 OPC 기술

식각 공정 기술 RCA 대체 친환경 재료, damage 저감, 식각 균일화 기술, high Selectivity 기술반도체 공정 기술

다층 배선 기술Cu/Ultra low-k, TSV , 대면적 고 균일도 Thin film 증착, 친환경세정기술, 저비저항 금속배선 공정,

전력반도체 공정 기술 고전압 고전력 BCD, 고전류 지원 공정, 600V 이상 고전압 IC, 고전압 analog IC

차세대 power IC 공정기술 RF LDMOS, high power LTDMOS, super junction BCD 공정, HV compatible Digital 공정

반도체 재료 기술

대구경 Si Wafer 대구경 Flatness, 무결점 carrier, eornrud 잉곳, 오염 방지 환경기술, 소제결점 제어기술

나노소자 공정용 PR 소재 나노소자용 PR, 광산 발산제, 내에칭 고분자 및 PR 조성, 패턴고성제

평탄화 소재 W막 산화용 신물질 및 CMP Slurry, Dishing, Erosion을 최소화하는 Abrasive, 연마제

ALD/CVD 전구체 가스 높은 유전율(k) 및 열안정성 Hf, Zr Sr, Ti, Ru Precursor 물질 개발

반도체 장비 기술

나노소자용 평탄화 장비 Cu, W CMP, Oxide CMP, Cu Plating

나오소재용 식각 장비 High AR용 Oxide etcher, Si & Metal Etcher, TSV용 Etcher

나노 소재용 증착장비저온 SiO/SiN증착, Cu Barrier/Seed 증착, Cap용 ultra high-k/신물질 Electrode 증착

High AR용 Gap-fill 물질 증착 (TSV절연막 포함)

반도체로드맵 위원회

Package/PCB test 장비 TSV용 테스터, WSS, Wafer Mold 장비, Wafer Bonding 장비

Reliability Test 장비EMI와 EMS 겸용 복합 장비(Near Field Scanner, Bulk current Injection, Direct Pulse Injection),

패키지 및 PCB

Flip Chip PackageFan-out level Package의 Die construction, wafer molding, 미세 배선 피치, 고신뢰도절연막

Embedded System Package 능수동 소자 기판 내장, WLP 및 WL molding, mold 재배선 기술, IPD, 시스템 해석 기술

Hybrid 융합 패키지 고유전율 high Q 소재, 저유전율 저손실 소재, 내 장칩 연계 회로 기술

3d Stacked SiPTSV 가공 및 filling 기술, 양면 다층 재배선 기술, 저온 본딩 기술, carrier wafer bonding /debonding 기술

고집적 PCB 고방열,고다층용 저가 PCB 소재, Low LTE 및 고방열 소재, 세라믹 필러 분산기술

고방열/고신뢰도 PCB 고온 Tg 소재, High Tg 폴리머 기술, Metal 패키지 기술

플렉시블 PCB 열가소성 소재,고신장 플렉시블 소재, 고내열성 LCP 폴리머을 이용한 전자필름 기술,

(19/23)

Page 22: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

중분류 소분류기술수준 최고기술

보유국판단 사유 및 근거

격차(년) 상대수준(%)

그린 IT SoC 3 80 미국핵심 기술을 해외에 의존하고 있으나 국내 연구소, 산업체, 대학을 중심으로 세계

수준의 IT와 에너지기술을 융합한 관련기술 및 연구인력 보유

첨부3. 기술수준 및 SWOT 분석(1/2)첨부3. 기술수준 및 SWOT 분석(1/2)

기술수준

시스템반도체

수준의 IT와 에너지기술을 융합한 관련기술 및 연구인력 보유

자동차용 반도체 4 70 유럽핵심기술의 대부분을 해외에 의존하고 있으나 국내 연구소, 산업체 중심으로 세계

수준의 자동차와 반도체기술을 융합한 관련기술 및 연구인력 보유

정보통신/가전용 SoC 2 90 미국 세계 최고 수준의 정보통신망을 기반으로 관련기술 및 연구개발 인력 확보

시스템 설계기술 3 75 미국 핵심 기술을 해외에 의존하고 있으나 연구소, 대학을 중심으로 관련 연구인력 보유

메모리

휘발성 메모리 0 100 한국 40nm급 양산화 기술 확보, 해외는 50nm급 진입 단계

비휘발성 메모리 0 100 한국/일본 30nm급 낸드 플래시 양산 기술 동시 확보 (삼성/도시바)

이머징 메모리 0.5 85 미국/일본 핵심 재료 및 소자 구조의 원천기술 확보 단계

특화

파워 디바이스 5 65 미국, 유럽 특허관련 기술 보유 현황 및 시장 점유율이 취약하고 제품 개발력 등이 미흡

광반도체 소자 2 80 일본 전극소재 및 전해질분야 원천소재기술 취약, 핵심 전지소재의 높은 일본의존도

제품의 양산을 위한 소자 공정 등의 일괄 공정이 모두 선진국의 파운드리를 사용

반도체로드맵 위원회

특화디바이스

고주파 소자 3 80 미국, 유럽제품의 양산을 위한 소자 공정 등의 일괄 공정이 모두 선진국의 파운드리를 사용

하여 제작되며 응용 회로, 모듈 등의 제작도 국외 의존도가 높은 상태임

이차전지 3 75 미국고부가가치 센서 전량 수입 의존, 제품화 경험 부족하고, 기술인력/전문업체 등 제반 토대 취약

센서디바이스 2 85 미국 상용 제품 수준, 논문/학회 발표 수준

공정/재료/장비

트랜지스터 제조 1 90 한국메모리 공정 분야에서는 한국의 삼성과 하이닉스의 기술이 세계에서 가장 앞선 것

으로 판단됨. 그러나 시스템 IC 공정의 경우 2년 정도 뒤진 것으로 판단.

포토레지스터 소재 2 80 미국가장 중요한 포토 등의 장비는 미국과 일본의 것을 사용 Etching 장비 및 증착장비

등 일부분은 국제적 수준을 따라온 것으로 판단됨

미세패턴 장치 4 60 일본 Si기판을 제외한 반도체 재료는 대부분 일본 및 독일 미국에서 수입하고 있음

테스트 3 70 일본패키지의 경우 양산은 국내가 앞서고 있으나 차세대 패키지는 일본에 뒤지며 특히패키지 소재는 거의 일본에 의존하고 있음

(20/23)

Page 23: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

첨부3. 기술수준 및 SWOT 분석(2/2)첨부3. 기술수준 및 SWOT 분석(2/2)

메모리 메이저 업체 보유

세계수준의 시스템 산업 보유

IDM 중심의 산업구조(삼성전자 거대화)

시스템 설계인력 및 파운드리 산업 미약

세계 최고수준의 공정기술 보유

디지털 융복합의 가속화 및 수요증가

반도체의 핵심 부가가치 증가

신성장동력 등 정부의 강력한 의지

자본력, 마케팅, 국제협력 등 취약

퀄컴 인텔 등 선발기업 기술보호/영역확장

중국 인도 등 후발개도국 기술수준 급성장

핵심 고급인력의 기피현상

§ 원천기술 확보 및 경쟁력 제고

§ IPR 확대 및 표준화 선점

§ 표준플랫폼 및 IP 기술개발

§ 파운드리 특화 기술개발

§ 산업체 수요지향 인력양성

§ 인력 재교육 및 중소기업 지원

§ 대형시장 창출 제품개발

§ 유망산업 전략적 개발기술기술

인력인력

시장/산업시장/산업

인프라인프라

반도체로드맵 위원회(21/23)

Page 24: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

첨부4. ’09년도 과제맵첨부4. ’09년도 과제맵

2009 2010 2011 2012 2013 2015

시스템

반도체

시스템

반도체

2014

다중카메라 기반 고속 영상인식 SoC 플랫폼(KETI, 18억원)

자동차용 차세대 멀티미디어 네트워크 SoC (KETI, 15억원)

프로그램 가능한 바이오 CMOS 전계형 소자 (주관기관:ETRI, 예산: 15억원)

Configurable 디바이스 및 회로 구현기술 (주관기관:한국반도체연구조합, 예산: 20억원)

특화특화

메모리메모리

유비쿼터스 전원용 리튬이온 커패시터 기술개발(한국전지연구조합,15억원)

하이브리드 태양광반도체 장비기술개발(반도체연구조합,19억)

3G LTE 기반 All-In-One Femtocell 기지국 SoC 플랫폼(ETRI, 22억원)

다중카메라 기반 고속 영상인식 SoC 플랫폼(KETI, 18억원)

차세대 비휘발성 메모리 개발(한양대,29억원)

30nm급 수직자화형 고집적 STT-MRAM (한양대,30억원)

양산성이 우수한 차세대 DRAM 커패시터 (서울대, 3억원)

반도체로드맵 위원회

디바이스디바이스

공정/

재료/

장비

공정/

재료/

장비

32/22nm급 공정장비 개발

차세대 증착/식각/리소 장비, PVD

450nm 대응원천기술/상용화 기술

32/22nm급 공정장비 개발

극미세 나노공정 장비개발

450nm 웨이퍼 대응장비

하이브리드 태양광반도체 장비기술개발(반도체연구조합,19억)

차세대 전기-광 하이브리드 집적 PCB 개발(KETI, 8.4억원)

(22/23)

Page 25: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

첨부5. 참여위원 명단첨부5. 참여위원 명단

구 분 성 명 소 속 직 위 (급)

산업계

(6)

고 대 협 LG전자 수 석

박 춘 선 하이닉스 수 석

김 동 환 동부하이텍 부 장

송 치 중 (주) 네패스 상 무

최 익 수 (주) 엠텍비젼 소 장

서 태 욱 (주) 아이피에스 소 장

학 계

(4)

김 광 범 연세대학교 교 수

이 헌 고려대학교 부교수

위 재 경 숭실대학교 교 수

구 용 서 서경대학교 교 수심 태 헌 한양대학교 교 수

연구계

(4)

김 종 대 한국전자통신연구원 (ETRI) 부 장

엄 낙 웅 한국전자통신연구원 (ETRI) 부 장

이 윤 식 전자부품연구원 (KETI) 본부장

반도체로드맵 위원회

(4) 이 윤 식 전자부품연구원 (KETI) 본부장

황 학 인 전자부품연구원 (KETI) 본부장

관 계

(4)

신 성 주 지식경제부 (MKE) 서기관

장 선 호 한국산업기술평가관리원 (KEIT) 팀 장

김 남 훈 한국산업기술평가관리원 (KEIT) 선 임김 짐 한국산업기술평가관리원 (KEIT) 연구원

총 18 명 참여

(23/23)

Page 26: 차세대반도체 IT전략기술로드맵2015°˜도체.pdfIV. 추진목표및전략 국정목표비전 2015년, 세계2강반도체강국건설 목표 기술경쟁력 강화 유망제품

감감 사사 합합 니니 다다..

반도체로드맵 위원회