Electronica Digital Multiplexor

42
UNIVERSIDAD NACIONAL DEL CENTRO DEL PERÚ Asignatura: ELECTRONICA DIGITAL. FACULTAD DE INGENIERÍA DE SISTEMAS Ambrosio Gaspar David Gamarra Rupay Richard Montañez Rodriguez Kelvin Romero Gutierrez Carlos Reyes Santiago juan PRESENTADO POR: SEMESTRE: VII HUANCAYO - 2012

Transcript of Electronica Digital Multiplexor

Page 1: Electronica Digital Multiplexor

UNIVERSIDAD NACIONAL DEL CENTRO DEL PERÚ

Asignatura: ELECTRONICA DIGITAL.

FACULTAD DE INGENIERÍA DE SISTEMAS

Ambrosio Gaspar DavidGamarra Rupay RichardMontañez Rodriguez KelvinRomero Gutierrez CarlosReyes Santiago juan

PRESENTADO POR:

SEMESTRE: VII

HUANCAYO - 2012

Page 2: Electronica Digital Multiplexor

Es el estudio de los distintos tipos de circuitos combinacionales MSI (Medium Scale Integration) y los más importantes son:

•EL COMPARADOR

•EL DECODIFICADOR

•EL CODIFICADOR

•EL MULTIPLEXOR

•EL DEMULTIPLEXOR

CIRCUITOS LOGICOS MSI

Page 3: Electronica Digital Multiplexor

RESTADORES

Para realizar la substracción podríamos desarrollar la tabla de verdad para la resta de 1 bit y unir en cascada los módulos necesarios para el número de bits que se requiera, los que se denominaría un borrow-ripple subtractor.

En la mayoría de los casos, cuando se realiza una resta, también es necesario realizar una suma, por lo tanto podemos sacar ventaja de la aproximación de realizar una resta usando una suma de la siguiente forma: A – B = A + Bcomp a 1 + 1

Page 4: Electronica Digital Multiplexor

Ing. Jo

rge M

anriq

ue ©

2

00

4Siste

mas D

igita

les

El uso de las compuertas x-or ayudan a comandar el modo de funcionamiento.

Page 5: Electronica Digital Multiplexor

SUMADOR/RESTADOR A-B = A+B’+1, para realizar el complemento se

usan las compuertas x-or.

Page 6: Electronica Digital Multiplexor

COMPARADOR

La función principal de un comparador consiste en comparar las magnitudes de dos cantidades binarias para determinar su relación.

Se usa la OR Exclusiva (x-or) para generar un 1 en el caso de que los números sean diferentes y 0 para el caso de que sean iguales.

Page 7: Electronica Digital Multiplexor

COMPARADORES

Comparador Básico de 2 Números de Un Bit c/u.

A B F

0 0 = (0)

0 1 ≠ (1)

1 0 ≠ (1)

1 1 = (0)

𝐹=𝐴𝐵+𝐴𝐵

Circuito lógico

Diseño: Función

Page 8: Electronica Digital Multiplexor

COMPARADORES

Comparador de 2 números de 2 Bits cada uno

A1 A0 B1 B0 A > B A = B A < B

0 0 0 0 0 1 0

0 0 0 1 0 0 1

0 0 1 0 0 0 1

0 0 1 1 0 0 1

0 1 0 0 1 0 00 1 0 1 0 1 00 1 1 0 0 0 10 1 1 1 0 0 11 0 0 0 1 0 01 0 0 1 1 0 01 0 1 0 0 1 01 0 1 1 0 0 11 1 0 0 1 0 01 1 0 1 1 0 01 1 1 0 1 0 0

1 1 1 1 0 1 0

Page 9: Electronica Digital Multiplexor

COMPARADORES

Circuitos Integrados Comparadores

Comparador de magnitud de 4 bits 7485

Page 10: Electronica Digital Multiplexor

CIRCUITO COMPARADOR DE 4 BITS

a) Con OR exclusivas b) Con NOR exclusivas

Estos comparadores solo son para determinar la igualdad de dos palabras de 4 bits y pueden extenderse a cualquier tamaño de palabras.

Page 11: Electronica Digital Multiplexor

COMPARADOR COMERCIAL

El 7485 es un comparador de 4 bits, con la opción de realizar conexiones en cascada para aumentar en número de bits que se deseen comparar.

Para hacer la cascada las señales van del módulo más bajo al más alto

Page 12: Electronica Digital Multiplexor

COMPARADOR TÍPICO DE 1 BIT

=

<

>

Page 13: Electronica Digital Multiplexor

CODIFICADOR

Definición: Es un dispositivo digital que lleva información no binaria a binaria.

Por lo general reciben información de las fuentes de entrada de dispositivos y su función es llevarla a binario para que sea interpretada digitalmente(Teclados, pulsadores, etc)

Page 14: Electronica Digital Multiplexor

REPRESENTACIÓN GRÁFICA

Page 15: Electronica Digital Multiplexor

Tabla de verdad para el 74147

Circuito lógico correspondiente a un codificador de octal a binario(de 8 a 3 líneas)

Page 16: Electronica Digital Multiplexor

CODIFICADOR DE 2 A 1

Entra un “0” o un “1” y a la salida Yo se pone en 0 o en 1

Entradas .m0 m1

Salida Yo

1                        0 0 1

01

Page 17: Electronica Digital Multiplexor

CODIFICADOR DE 4 A 2

Tiene 4 entradas(0, 1, 2 y 3) y dos salidas que representan el binario correspondiente desde 00 hasta 11

Entradas.m0 m1 m2 m3

Salida.y1 y0

1     0 0 00 1 0 00 0 1 00 0 0 1

0 00 11 01 1

Page 18: Electronica Digital Multiplexor

CODIFICADOR DE 8 A 3

Tiene 8 entradas(el 0, el 1, el 2, el 3, el 4, el 5, el 6, el 7 y el 8.

Tiene 3 salidas binarias que conforman los digitos desde 000 hasta 111

Page 19: Electronica Digital Multiplexor

Entradas.m0 m1 m2 m3 m4 m5 m6 m7

Salida.y2 .y1 y0

1 0 0 0 0 0 0 0 0 1 0 0 0 0 0 00 0 1 0 0 0 0 00 0 0 1 0 0 0 00 0 0 0 1 0 0 00 0 0 0 0 1 0 00 0 0 0 0 0 1 00 0 0 0 0 0 0 1

0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1

Page 20: Electronica Digital Multiplexor

CODIFICADORES DE PRIORIDAD

Si, de un modo fortuito, se pulsan simultáneamente varias teclas, vamos a dar prioridad y codificar la línea de datos de orden superior. Por ejemplo, si se excitan las entradas 5 y 6, lo que se desea es que la salida sea la que corresponde a la entrada 6.

Circuito integrado típico de un codificador con prioridad

Page 21: Electronica Digital Multiplexor

CODIFICADOR DE PRIORIDAD

Codificador de prioridad de decimal a BCD

Page 22: Electronica Digital Multiplexor

DECODIFICADORES Estos dispositivos normalmente cuentan con

una entrada habilitadora. Cuando esta entrada vale 0, todas las salidas del codificador son 0. Cuando la entrada habilitadora vale 1, la salida correspondiente al minitérmino formado por la combinación presente en las n entradas tomará el valor 1 y las demás tomarán el valor 0.

Page 23: Electronica Digital Multiplexor

Disponen de n entradas y 2n salidas

n-2n

E0 S0

..

....

E1

En-1

S1

S2n

-1

Decodificador

Se activa poniéndose a “1” la salida correspondiente a la combinación binaria de la entrada. Sólo puede haber una salida activa.

E0

S0

E1

S1

S3

S2

Las salidas pueden ser activas a nivel alto

DECO n: 2n

2 :4

Tabla de funcionamiento

E1 E0 S0 S1 S2 S3

0 0 1 0 0 0

0 1 0 1 0 0

1 0 0 0 1 0

1 1 0 0 0 1

m0

m1 m2 m3

0

Page 24: Electronica Digital Multiplexor

DECODIFICADOR 2 X 4 Un valor de x en las entradas indica que puede tomar

el valor de 1 o 0.

X X0 00 11 01 1

01111

DEC 2x4 S0

S1

S2

Hab. S3

C1 C0

0 1 0 0 0

0 0 1 0 0

0 0 0 1 0

0 0 0 0 1

Page 25: Electronica Digital Multiplexor

DECODIFICADOR 2X4

Las funciones lógicas para las salidas del codificador 2x4 son:

010 CCHS

011 CCHS

012 CHCS

013 CHCS

Page 26: Electronica Digital Multiplexor

DECODIFICADORES

De forma semejante a como se define el decodificador 2x4, pueden definirse decodificadores de 3x8, 4x16, 5x32 y en forma general de nx2n.

La principal utilización de este dispositivo es cuando se tiene N alternativas que se pueden seleccionar, pero se desea seleccionar solamente una de ella.

Page 27: Electronica Digital Multiplexor

DECODIFICADOR 3X8

Page 28: Electronica Digital Multiplexor

28

Decodificador de 7 segmentosEn la actualidad, se utilizan normalmente una serie de dispositivos de representación visual fabricados a base de siete segmentos o barras independientes, mediante las cuales se pueden presentar los dígitos decimales. Estos segmentos pueden ser cristales líquidos, diodos LED, etc. Para excitar a estos dispositivos se han desarrollado toda una gama de decodificadores que reciben la información, procedente de un ordenador o de un aparato de medida, en código BCD y entregan siete salidas preparadas para alimentar los siete segmentos que componen cada dígito decimal.

a b c d e f g

0 1 1 1 1 1 1 0

1 0 1 1 0 0 0 0

2 1 1 0 1 1 0 1

3 1 1 1 1 0 0 1

4 0 0 1 0 0 1 1

5 1 0 1 1 0 1 1

6 0 0 1 1 1 1 1

7 1 1 1 0 0 0 1

8 1 1 1 1 1 1 1

9 1 1 1 0 0 1 1

CONVERTIDOR

HEXADECIMAL /

7 SEGMENTOS

D

C

a

B

A

b

c

d

e

f

g

a

b

c

d

e

f

g

Page 29: Electronica Digital Multiplexor

DECODIFICADOR COMERCIAL

El 74138 es un decodificador de tipo 3x8 comercialmente disponible

Ver hoja de datos Entradas con X Tipo de salidas

Active High Active Low

U1

74LS138N

Y0 15Y1 14Y2 13Y3 12Y4 11Y5 10Y6 9Y7 7

A1B2C3

G16~G2A4~G2B5

Page 30: Electronica Digital Multiplexor

APLICACIÓN

Una aplicación de los decodificadores es seleccionar uno de muchos dispositivos que tiene una única dirección. La dirección sería la entrada del decodificador, una salida estaría activa, para seleccionar el dispositivo que fue seleccionado.

Page 31: Electronica Digital Multiplexor

MULTIPLEXOR Los multiplexores son circuitos

combinacionales con varias entradas y una salida de datos, y están dotados de entradas de control capaces de seleccionar una, y sólo una, de las entradas de datos para permitir su transmisión desde la entrada seleccionada a la salida que es única.

Page 32: Electronica Digital Multiplexor

La entrada seleccionada viene determinada por la combinación de ceros (0) y unos (1) lógicos en las entradas de control. La cantidad que necesitaremos será igual a la potencia de 2 que resulte de analizar el número de entradas. Así, por ejemplo, a un multiplexor de 8 entradas le corresponderán 3 de control.

Podemos decir que la función de un multiplexor consiste en seleccionar una de entre un número de líneas de entrada y transmitir el dato de un canal de información único. Por lo tanto, es equivalente a un conmutador de varias entradas y una salida.

Page 33: Electronica Digital Multiplexor

TIPOS DE MULTIPLEXORES Dentro de la gran variedad de multiplexores que

existen en el mercado, hay varios tipos que conviene destacar a causa de su gran utilidad en circuitos digitales, éstos son: 

A X0 X1 X

0

1 1 1

1 0 1

0 1 0

0 0 0

1

1 1 1

1 0 0

0 1 1

0 0 0

Page 34: Electronica Digital Multiplexor

MULTIPLEXOR DE 2 ENTRADAS.

Page 35: Electronica Digital Multiplexor

DIAGRAMA BÁSICO DE UN MULTIPLEXOR DE 2 ENTRADAS Y 1 SEÑALES DE CONTROL

Diagrama Lógico y tabla de verdad de un multiplexor de 2 entradas

Page 36: Electronica Digital Multiplexor

MULTIPLEXOR DE 4 ENTRADAS.

Page 37: Electronica Digital Multiplexor

MULTIPLEXOR DE 4 ENTRADAS.

Page 38: Electronica Digital Multiplexor

DIAGRAMA BÁSICO DE UN MULTIPLEXOR DE 4 ENTRADAS Y 1 SEÑALES DE CONTROL

Diagrama Lógico con puertas NAND y tabla de verdad de un multiplexor de 4 entradas

Page 39: Electronica Digital Multiplexor

•Tienen 1 entrada de información, 2n salidas y n líneas de selección•En la salida aparece el valor de la entrada que se encuentra seleccionada por las líneas de selección.

DEMULTIPLEXORES

Salidas

C0

S0

...

C1Cn-1

S1

S2n-1

E 1-2n

Demux

Selección

Entrada

E

AB

Demux1-4

S0

S1

S2

S3

E 0 0 0 0 E 0 0 0 0 E 0 0 0 0 E

0 00 11 01 1

S0 S1 S2 S3B A

Ejemplo: Demultiplexor de 1 a 4

Si = E.mi

Page 40: Electronica Digital Multiplexor

Como en un demultiplexor Si = E•mi, se puede usar como demultiplexor un decodificador con entrada de habilitación (o inhibición)Se utiliza como entrada del demux la entrada de habilitación del decodificador, por ejemplo BCD a decimal.

Page 41: Electronica Digital Multiplexor

EL DEMULTIPLEXOR FUNCIONA DE FORMA INVERSA QUE EL MULTIPLEXOR

Page 42: Electronica Digital Multiplexor

SISTEMA DE TRANSMISION DE DATOS POR UNA SOLA LINEA ENTRE ORDENADORES MEDIANTE UN MULTIPLEXOR Y DEMULTIPLEXOR