Communication Between Processes example.docx

7
Communication Between Processes example library ieee; use ieee.std_logic_1164.all; entity commprocess is port(a,b,c: in std_logic; sum, carry:out std_logic); end commprocess; architecture abc of commprocess is signal s1,s2,s3 : std_logic; begin HA1:process(a,b) begin s1 <= a xor b; s3 <= a or b; end process; HA2:process(s1,c) begin sum <= s1 xor c; s2 <= s1 or c;

description

good work

Transcript of Communication Between Processes example.docx

Communication Between Processes example

library ieee;use ieee.std_logic_1164.all;

entity commprocess isport(a,b,c: in std_logic; sum, carry:out std_logic);end commprocess;architecture abc of commprocess is

signal s1,s2,s3 : std_logic;

begin

HA1:process(a,b)begins1