Blood supply of brain.ppt lectures/Anatomy/Blood...Temporal lobe Right middle cerebral artery...

15
Blood supply of brain Brain is supplied by branches from Internal carotid artery Vertebral artery

Transcript of Blood supply of brain.ppt lectures/Anatomy/Blood...Temporal lobe Right middle cerebral artery...

Blood supply of brain

• Brain is supplied by branches from

• Internal carotid artery

• Vertebral artery

• Branches of internal carotid artery-Anterior cerebral

• Middle cerebral• Posterior

communicating• Anterior choroidal•

Arterial supply of cerebral hemisphere

Venous drainage of brain

The internal cerebral veins

ARTERIAL SUPPLY OF SPINAL CORD

VENOUS DRAINAGE