Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss...

29
Analog and Mixed-Signal Analog and Mixed-Signal Modeling with VHDL-AMS Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 15.05.22 EWME A. Shoufan Slide 1 ISS

Transcript of Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss...

Page 1: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Analog and Mixed-Signal Analog and Mixed-Signal Modeling with VHDL-AMSModeling with VHDL-AMS

Abdulhadi Shoufan and Sorin Alexander Huss

21.04.23 EWME A. Shoufan Slide 1

ISS

Page 2: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

CASEDCASED

SecureSecureThingsThings

SecureSecureServicesServices

Secure Secure DataData

Center for Advanced Security Research DarmstadtCenter for Advanced Security Research Darmstadt

21.04.23 EWME A. Shoufan Slide 2

Page 3: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal-flowSignal-flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 3

Page 4: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Hardware: Essential FeaturesHardware: Essential Features

DealyReaction on

signal events

HardwareHardwareUnitUnit

ArchitectureInterface

OperationsSignals

ConnectionsComponents

Structure

Processing Time behavior

Function

SynchronousLogic

AsynchronousLogic

ParametersSignals

21.04.23 EWME A. Shoufan Slide 4

Page 5: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

VHDL: A Hardware Description LanguageVHDL: A Hardware Description Language

Processing Time behavior

DealyReaction on

signal events

ConnectionsComponents

HardwareHardwareUnitUnit

ArchitectureInterface

OperationsSignals

StructureFunction

SynchronóusLogic

AsynchronousLogic

ParametersSignals

Event-conditional Assignment

Event-non-conditional Assignment

entityentity

architecture

process Instantiation

port/genericmap

componentAssignment

:=, <=

signal, variable +, -, and, or,…after clause

genericsport signals

21.04.23 EWME A. Shoufan Slide 5

Page 6: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal-flowSignal-flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 6

Page 7: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Why VHDL-AMS?Why VHDL-AMS?

Time and Value Time and Value ContinuityContinuity

What characterizes an analog system?What characterizes an analog system?

AcausalityAcausality

Physical NaturePhysical Nature

Why can VHDL not model these characteristics?Why can VHDL not model these characteristics?

21.04.23 EWME A. Shoufan Slide 7

Page 8: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Why VHDL-AMS?Why VHDL-AMS?

)102sin(0.2)( 3ttV R=1 kΩ

Can you model this circuit is VHDL?Can you model this circuit is VHDL?

21.04.23 EWME A. Shoufan Slide 8

Page 9: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Sinusoidal Source Model in VHDL?Sinusoidal Source Model in VHDL?

)102sin(0.2)( 3ttV

?

21.04.23 EWME A. Shoufan Slide 9

Page 10: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Resistor Model in VHDL?Resistor Model in VHDL?

R=1 kΩ

Can to connect this model Can to connect this model to the source model?to the source model?

21.04.23 EWME A. Shoufan Slide 10

Page 11: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

VHDl-AMS ModelsVHDl-AMS Models

R

Pr1

Pr2

Ir

Vr

Is

Ps1

Ps2

Vs

21.04.23 EWME A. Shoufan Slide 11

Page 12: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

TestbenchTestbench

R

Pr1

Pr2

Ir

Vr

Ps1

Ps2

Vs

T

Is

21.04.23 EWME A. Shoufan Slide 12

Page 13: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal FlowSignal Flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 13

Page 14: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

From Signal-flow Model to Physical Model From Signal-flow Model to Physical Model

Controller SYSTEM+

-

Control ValueErrorSet Value Output Actual Value

Noise

-

+

t

I

deT

K

0

)(

dt

tdeKTD

)(

)(tKe

Control ValueSet Value

Acutal Value

Error

e(t)

21.04.23 EWME A. Shoufan Slide 14

Page 15: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

From Signal-flow Model to Physical Model From Signal-flow Model to Physical Model

R

R

R

R+-

R

C

+

-

R

R

R

R

+

-R

RC

+-

R

R

+

-

t

I

deT

K

0

)(

dt

tdeKTD

)(

)(tKe

Control ValueSet Value

Acutal Value

Error

e(t)

21.04.23 EWME A. Shoufan Slide 15

Page 16: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

From Signal-flow Model to Physical Model From Signal-flow Model to Physical Model

Signal Flow ModelSignal Flow ModelPhysical ModelPhysical Model

NaturesNatures

TerminalsTerminals

Across QuantitiesAcross Quantities

Through QuantitiesThrough Quantities

Port QuantitiesPort Quantities

Free QuantitiesFree Quantities

21.04.23 EWME A. Shoufan Slide 16

Page 17: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal FlowSignal Flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 17

Page 18: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Mixed-Signal ModelingMixed-Signal Modeling

AD InterfaceAD InterfaceDA InterfaceDA Interface

Q‘above(expression)Q‘above(expression)Break StatementsBreak Statements

Vin R

cS

S = 1S = 0

Vin R

cRon=0.1Ω

Vin R

cRoff=1MΩ

SV1

V2

if (V1‘above(V2)) thenif (V1‘above(V2)) then

S <= ´1´S <= ´1´

........

break on S;break on S;

21.04.23 EWME A. Shoufan Slide 18

Page 19: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Phase Locked LoopPhase Locked Loop

21.04.23 EWME A. Shoufan Slide 19

Page 20: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal FlowSignal Flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 20

Page 21: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Defining own NaturesDefining own Natures

naturenature nature_name nature_name is is

subtype_1 subtype_1 acrossacross

subtype_2 subtype_2 through through

reference_terminal_name reference_terminal_name referencereference;;

21.04.23 EWME A. Shoufan Slide 21

Page 22: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Mixed-Technology DC MotorMixed-Technology DC Motor

Source: The System Designer‘s Guide to VHDL-AMS, P. Ashenden et. Al. Source: The System Designer‘s Guide to VHDL-AMS, P. Ashenden et. Al.

21.04.23 EWME A. Shoufan Slide 22

Page 23: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal FlowSignal Flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 23

Page 24: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Modeling in the Frequency DomainModeling in the Frequency Domain

Source QuantitiesSource Quantities u(t) = 2.5sin(u(t) = 2.5sin(ωωt + t + ππ/2)/2)Example:Example:

Q‘ltf(num, den)Q‘ltf(num, den)

21.04.23 EWME A. Shoufan Slide 24

Page 25: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

OutlineOutline

Signal FlowSignal Flow&&

Physical Physical ModelingModeling

VHDLVHDLReviewReview

VHDL-AMSVHDL-AMS

IntroductionIntroductionintointo

VHDL-AMSVHDL-AMS

Mixed-SignalMixed-SignalModelingModeling

Mixed-domainMixed-domainModelingModeling

Modeling in Modeling in Frequency Frequency

DomainDomain

ModelModelExecutionExecution

21.04.23 EWME A. Shoufan Slide 25

Page 26: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Model ExecutionModel Execution

AnalysisAnalysis

ElaborationElaboration

ExecutionExecution

EquationSystem

Processes& Nets

Analog SolverDigital Kernelbreak

Q‘above(E)

universal time

21.04.23 EWME A. Shoufan Slide 26

Page 27: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Model ExecutionModel Execution

21.04.23 EWME A. Shoufan Slide 27

Page 28: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

Summary: HintsSummary: Hints

1. Do not teach a hardware description language as a programming language.

2. Before representing a new language construct or aspect make a case for it.

3. Improve understanding the language by describing its semantics for the simulator, the synthesizer,...

4. HDL is a language. To learn it, students must speak it. Give them the chance to do it and help them to get their first program running.

21.04.23 EWME A. Shoufan Slide 28

Page 29: Analog and Mixed-Signal Modeling with VHDL-AMS Abdulhadi Shoufan and Sorin Alexander Huss 08.10.2015EWME A. Shoufan Slide 1 ISS.

21.04.23 EWME A. Shoufan Slide 29