40nm - GlobalFoundriesmarcomauto.globalfoundries.com/.../f-0022/1/-/-/-/-/PB-40nm-LP.pdf ·...

4
40 nm mainstream technologies GLOBALFOUNDRIES, the world’s first full-service semiconductor foundry with a truly global manufacturing and technology footprint, is reshaping the semiconductor industry through collaboration and innovation in its world- class manufacturing, R&D and design enablement centers in the United States, Europe and Asia. We develop and support leading-edge and mainstream process technology platforms, dramatically reducing time-to-market and time-to-volume with rapid yield learning, optimized performance and low defect densities. GLOBALFOUNDRIES mainstream platforms from 180nm to 40nm offer mixed- technology solutions on production-proven, industry-compatible processes. 40nm-LP The Ideal Platform for Power- & Price-Sensitive Applications 40nm Low Power (40nm-LP) platform is optimized for power- and price- sensitive mobile and wireless applications with flexible mixed-technology options for RF, low voltage and automotive.

Transcript of 40nm - GlobalFoundriesmarcomauto.globalfoundries.com/.../f-0022/1/-/-/-/-/PB-40nm-LP.pdf ·...

Page 1: 40nm - GlobalFoundriesmarcomauto.globalfoundries.com/.../f-0022/1/-/-/-/-/PB-40nm-LP.pdf · GLOBALFOUNDRIES mainstream platforms from 180nm to 40nm offer mixed- ... WCDMA Zigbee M2M

40nmmainstream technologies

GLOBALFOUNDRIES, the world’s first full-service semiconductor foundry with a truly global manufacturing and technology footprint, is reshaping the semiconductor industry through collaboration and innovation in its world-class manufacturing, R&D and design enablement centers in the United States, Europe and Asia. We develop and support leading-edge and mainstream process technology platforms, dramatically reducing time-to-market and time-to-volume with rapid yield learning, optimized performance and low defect densities.

GLOBALFOUNDRIES mainstream platforms from 180nm to 40nm offer mixed- technology solutions on production-proven, industry-compatible processes.

40nm-LP The Ideal Platform for Power- & Price-Sensitive Applications40nm Low Power (40nm-LP) platform is optimized for power- and price- sensitive mobile and wireless applications with flexible mixed-technology options for RF, low voltage and automotive.

Page 2: 40nm - GlobalFoundriesmarcomauto.globalfoundries.com/.../f-0022/1/-/-/-/-/PB-40nm-LP.pdf · GLOBALFOUNDRIES mainstream platforms from 180nm to 40nm offer mixed- ... WCDMA Zigbee M2M

0.61200

0

0.5

2.0

1.51.0

Core Power

00.2

0.40.6

1.61.4

1.21.00.8

Frequency (MHz)

Optimized, Flexible 40nm PlatformThe 40nm-LP platform offers optimized Performance, Power, Area and Cost (PPAC) characteristics for mobile and wireless applications with modular mixed-technology options for RF, low voltage and automotive.

And, GLOBALFOUNDRIES is ready to support your appli-cation in high-volume production. Capacity from worldwide manufacturing centers combined with world-class defect densities have enabled us to ship hundreds of thousands of 40/45nm wafers covering applications including baseband SoC, DTV/STB, wireless consumer and mobile multimedia.

Superior Performance, Power, Area40nm-LP versus comparable 65nm platforms:

40nm-LP Technology FeaturesComponent OptionsThe 40nm-LP platform supports a wide choice of device component options to meet your exacting low-power product specifications.

• Super-low, low, standard, and high Vt options with a nominal supply voltage of 1.1V volt with Overdrive option

• 1.5V, 1.8V, 2.5V, and 3.3V I/O options with Underdrive and Overdrive options

• SRAM cell size less than half that of 65nm industry standard process technologies

• A wide choice of productized metal stack options

Area

40nm-LP

PowerPerformance

Best-in-class ARM Dual-core Cortex-A9 performance:

1.2GHz Clock Rate w/ Overdrive

~60%lower area

~40% lower power@ same frequency

Device Components & Options 40nm-LP

Core DeviceCore Vdd = 1.1V

SLVt √

LVt √

RVt √

HVt √

Overdrive option (1.2V+5%) √

I/O Devices (DGO only)

EG 28Å – 1.5V (UD) / 1.8V √

EG 52Å – 1.8V (UD) / 2.5V / 3.3V (OD) √

Memory

Regular SP SRAM √

Dense SP SRAM √

Dense 8T DP SRAM √

eFuse Poly-based √

Analog/ mixed-signal

RF /mmWave

Logic

eFlash

Memory • OTP • MTP • SRAM

Page 3: 40nm - GlobalFoundriesmarcomauto.globalfoundries.com/.../f-0022/1/-/-/-/-/PB-40nm-LP.pdf · GLOBALFOUNDRIES mainstream platforms from 180nm to 40nm offer mixed- ... WCDMA Zigbee M2M

Comprehensive, Validated EDA and IP EcosystemThe 40nm-LP platform includes a complete, design-ready ecosystem with familiar design flows and a broad range of validated IP.

The 40nm platform also allows you to maintain design styles and architecture from prior nodes, facilitating easier node migration from 65nm to 40nm, and from 40nm to 28nm.

Technology OptionsThe 40nm-LP platform offers numerous technology options that enable you to further innovate and differentiate your design, including:

RFCMOS Solutions

• Comprehensive RF design kit

• Logic-compatible process technology

• Accurate silicon-validated models

Automotive Qualification

• AECQ-100 (Rev G) qualified process

• Enhanced quality

- Tighter process and Etest control

- Tighter outgoing inspection

- Temperature testing

• Extended long-term reliability

- 15 year service life

- Outlier removal

- Traceable lots

- Failure analysis

- Qualification report available

Dual Low-voltage VDD (1.0V & 1.1V)

• For ultra low power applications and power-saving modes

• Single process supports both voltage supply options with same:

- Design rules

- Models

• IP & libraries available for 1.0V & 1.1V

- Standard Cells

- Memory Compilers

- SRAM bitcells

- eFuse macros

IP Partners

Validated Design Flows

40LPIP

Standard cells, memory compilers, GPIOs

PLL, ADC, DAC, specialty I/Os, AFE

High-speed Interfaces

• PCIe gen2, DDR3/2, LPDDR2, XAUI, SATA, USB2/3, HDMI, MIPI, OTP, more

Application-specific IPs

Hardened ARM Cortex-A9 (Socle) Fast Cache Instances

Foundation IP

Basic IP

Complex IP

Processor IP

RF Applications from UHF to mmWave

Bluetooth

iFiW802.11b/g/n

LTE

DECT

CDMA WCDMA

Zigbee

M2M

WiMAX 802.16

GPS

Mobile TV

Telematics Wireless

CDMA WCDMA

GSM

ISM

TASComm (Ku)

Auto Radar

TASComm (Ka)

UWB

iFiW802.11a/n

SAT Comm

Wireless USB

iFiW802.11ad

Wireless HDMI

WiGig

ITS

Auto Radar

Control Band

Landing Radar

100GHz 80GHz 60GHz 50GHz 24GHz 12GHz 5GHz 2.4GHz 800MHz

Supported Frequencies Support in Progress (mmWave)

Page 4: 40nm - GlobalFoundriesmarcomauto.globalfoundries.com/.../f-0022/1/-/-/-/-/PB-40nm-LP.pdf · GLOBALFOUNDRIES mainstream platforms from 180nm to 40nm offer mixed- ... WCDMA Zigbee M2M

GLOBALFOUNDRIES, the GLOBALFOUNDRIES logo and combinations thereof are trade-marks of GLOBALFOUNDRIES Inc. in the United States and/or other jurisdictions. Other names used in this document are for identification purposes only and may be trademarks of their respective owners. ©2013 GLOBALFOUNDRIES Inc. All rights reserved. B40.10

GLOBALSHUTTLE ServiceGLOBALFOUNDRIES’ prototyping service allows multiple designs to share the costs of a single mask set, as well as multi-layer reticle (MLR) approach to low volume manufacturing. 40nm-LP shuttles are regularly scheduled to fit your design schedule; find details at www.globalfoundries.com.

Worldwide Headquarters, Silicon Valley, California 840 N. McCarthy Blvd., Milpitas, CA 95035 USA • Tel: +1 408-462-3900 • www.globalfoundries.com/contact

• Open, flexible, cost- effective supply chain

• Aligns with your preferred partners

• Active co-development to ensure smooth volume ramp

Design PartnersDesign flow, rules and tool alignment

Memory Partners Integration and characterization

EDA & IP Partners Development and validation

OSAT Partners Process development and characterization

Design Test Dev(DFT)

ReticleSets

Wafer Fab & FSI Bump Probe Thin

& BSI Assembly

& Test

Collaborative Device ManufacturingGLOBALFOUNDRIES supports a unique model for design enablement that includes open access to fab technology, and involves in-depth collaboration to optimize your product performance and yields.

Collaboration extends from EDA support to providing a focused physical presence for design-technology co-optimization, with

early engagement being a key success factor of the methodology. GLOBALFOUNDRIES collaborates closely with all major EDA companies and third party IP providers to validate EDA tool flows and IP on process technologies.

The GLOBALSOLUTIONS ecosystem includes partners in all aspects of design enablement and turnkey

services, OPC and mask operations, and advanced capabilities in assem-bly solutions. Thus, you can innovate and differentiate at all levels of the design process, from the silicon and SoC level to the full system.

GLOBALFOUNDRIES is dedicated to product design and technology co-optimization to enable the fastest time-to-market for customers.

R&D and Support Centers• Silicon Valley

• Austin, TX

• E. Fishkill, NY

• Amsterdam

• Munich

• Abu Dhabi

• Shanghai

• Hsinchu

• Yokohama

ManufacturingCenters• U.S.A.

• Germany

• Singapore