2009 Implantation Solution Semilab Group

24
Implant Process Characterization With Modern In-line Metrologies July 16, 2009 Junction Technology Group, Semicon West 2009 Program

Transcript of 2009 Implantation Solution Semilab Group

Page 1: 2009 Implantation Solution Semilab Group

Implant Process Characterization With Modern In-line Metrologies

July 16, 2009Junction Technology Group, Semicon West 2009 Program

Page 2: 2009 Implantation Solution Semilab Group

2©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Outline

♦ Introduction to Semilab♦ Implant metrology

• Dose• Implant depth • Junction depth• Sheet resistance• Doping profiles• Activated surface dopant density

♦ Will illustrate techniques that provide above parameters♦ Summary

Page 3: 2009 Implantation Solution Semilab Group

3©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Semilab Background

♦ We have brought several powerful techniques into the Semilab family

♦ We offer multiple products for monitoring the implant/anneal processes

♦ The specific customer needs will determine the best fit.

Page 4: 2009 Implantation Solution Semilab Group

4©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

The Ion Implant Process

♦ Deposit photo-resist

♦ Expose / develop

♦ Perform blanket implant on product wafers and often monitor wafers also

♦ Measure implant dose, depth

♦ Anneal, to activate dopant

♦ Measure sheet resistance, junction depth, activation, profiles

Page 5: 2009 Implantation Solution Semilab Group

5©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Monitoring Before Anneal

♦ Provides SPC monitoring of implanters and implantation process• Real-time monitoring, immediate feedback

♦ Measures • Dose• Implant Depth

♦ Assumes damage = f(dose)

Page 6: 2009 Implantation Solution Semilab Group

6©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Monitoring After Anneal

♦ The dopant species is activated by the anneal

♦ The activated dopant affects device performance

♦ Measure • Sheet resistance

• Junction depth

• Profiles

Page 7: 2009 Implantation Solution Semilab Group

7©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Obsolete or Timeless?

♦ Before anneal• Therma-Wave (traditional approach)

− Measures in TW units, dependent on energy and dose− Actually measures the damage caused by the implant process

♦ After anneal• 4-Point Probe

− Measures sheet resistance (ohms/square)

Page 8: 2009 Implantation Solution Semilab Group

8©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Semilab Offerings

AnnealIon Implant

Rs JPV

Blanket

Dose Implant depth

BX CI QCS SPV

Activation FastGate

NsurfProduct

DoseProfilesSSM SRP

Hg CV

Junction depth BX CI

Leakage JPV

FastGate JL

Activation SDi NSDBlanket

Page 9: 2009 Implantation Solution Semilab Group

9©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Illuminated

Wd

VSPV ∝ τWd

e

h

Re

Rh

Dark

Bulkp-type

Implant defect levels

Re

RhEF

hνBoth as-implanted and annealed wafersEnergy range: 0.5keV to 3.0MeVDose range: 1E10cm-2 to 5E15cm-2

All common species: B, P, As, BF2,F, He, In, etc.Repeatability: < 1% (for low/medium dose < 0.5%)

Implanted Silicon Annealed Silicon

Implant dose, energy, angle Average doping density

What is Measured?

( ) 2/1)/(ln1sc

iscSPV qN

nNkTehIV

ω∝

NSC – doping concentrationIeh – light intensityω -- light modulation frequency

RNnqkTI

Vdi

ehSPV Δ

12

Nd – implant induced defect density ΔR -- implant region widthγ -- capture probability

Based on ac-SPV Method

QCS ICT-300Non-Contact Fast Mapping Metrology

Page 10: 2009 Implantation Solution Semilab Group

10©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Implant system A B 5keV 1E15

Implant system B P 45keV 8E14

Implant system C As 3keV 4E15

Implant system D B 5keV 1E15

Implant system E B 2keV 3E15

Implant system F B 0.65keV 1E15

Implanter Micro-Uniformity Detection

Implanter_E Streaming Wafer

1 2 3 4 5 6 7 8 9 10

Implanter_D Streaming Wafer

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18

Implanter_C Streaming Wafer

1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16

Implanter_A Streaming Wafer

1 2 3 4 5 6 7 8 9 10 11 12

Av 17116St dev 1.42%

Av 17888St dev 1.94%

Av 18472St dev 1.42%

Av 18160St dev 2.32%

Multi-Implanter SPC: B 31keV 8e12 Correlation to Final Electric TestImplant: As75 2e12 cm-2 300keV

QCSas-implanted

ET

ICT-300 Implant Monitoring Capabilities

Page 11: 2009 Implantation Solution Semilab Group

11©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

COCOS (ref. Wilson [1])

VCPD(dark)=VOX+Vsb(+const)

VCPD(light)=VOX(+const)

Vsb= VCPD(dark)- VCPD(light)

ac-SPV (ref. Nakhmanson [2])

2)(2

DA Cq

qkTVsb

NNSD ⋅⋅

−⋅==

ε

SPVD V

IeffconstC⋅

⋅=

ϖ

1. M. Wilson et al., ASTM STP 1382, (1999)2. R. Nakhmanson, Solid State Electron. 18, 617 (1975)

SDI measurement technique and Vsb corrections

SDI independently measures 2 parameters: surface barrier (Vsb) and ac-SPV signal (VSPV)

Implant profile- concentration dependent on depth

depth

conc

entra

tion

SCR depth at Vsb = 250mV

SCR depth at Vsb = 400mV

Measurement depth = Space Charge Region depth WCalibration: NSD versus surface barrier, VSB, dependence is measured and implant specific calibration is introduced.

Measured data corrected for variations in surface barrier, VSB.

C alibration 40keV

y = 4.3E+17x + 2.6E+16R2 = 9.9E-01

y = 5.4E+17x + 2.5E+16R2 = 9.9E-01

y = 6.3E+17x + 3.8E+16R2 = 9.9E-01

8.00E+16

1.00E+171.20E+17

1.40E+171.60E+17

1.80E+17

2.00E+172.20E+17

2.40E+172.60E+17

2.80E+17

0.1 0.2 0.3 0.4 0.5

Surface Barr ie r , Vsb , [V]

Con

cent

ratio

n, [c

m-3

]

2.00e12 cm-22.25e12 cm-22.50e12 cm-2

©2009 Semilab ALL RIGHTS RESERVED

Page 12: 2009 Implantation Solution Semilab Group

12©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

NSD Ion implant measurement data

♦ NSD provides excellent day-to-day stability and P/T capability, accounting for variations of wafer surface state.

♦ Dose measurement range : 1x1010 to 1x1014 cm-2; plus higher doses with junction

♦ Software automatically corrects for light reflectivity due to oxide films.

NSD repeatability

4.000E+14

4.400E+14

4.800E+14

5.200E+14

5.600E+14

6.000E+14

0 2 4 6 8 10 12 14

Run Number

Dop

ing

Con

cent

ratio

n

Average: 5.0843 e14 cm-3

StdDev: 3.3374 e11 cm-3

StdDev%: 0.07%

y = 35989x + 1E+16R2 = 0.9989

0.0E+00

5.0E+16

1.0E+17

1.5E+17

2.0E+17

2.5E+17

3.0E+17

0.E+00 1.E+12 2.E+12 3.E+12 4.E+12 5.E+12 6.E+12 7.E+12 8.E+12

Implanted dose (at/cm2)

NSD

(at/c

m3 )

Outstanding measurementPrecision (P/T)

25keV P implant – correlation vs dose

500keV P implantDose: 5E12(0, 0) angle

Includes full wafer mapping capability

Page 13: 2009 Implantation Solution Semilab Group

13©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

MBIR USJ Data Analysis

♦ Refractive index of the doped layer was calculated using Drude model, and rectangular profile of the concentration.

♦ Model fit was performed with three varied parameters: doped layer thickness, activated carrier concentration and carrier mobility.

♦ Wavenumber range used : 600-7000 cm-1.

Page 14: 2009 Implantation Solution Semilab Group

14©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

MBIR Correlation With Reference Methods

• MBIR 1/Rs is calculated as the product of the mobility μ and activated dopant dose (times the electron charge): •1/Rs=eμDose

y = 0.7409xR2 = 0.9938

0

0.002

0.004

0.006

0.008

0 0.002 0.004 0.006 0.008 0.01

1/sheet resistance, 4pt. probe (1/ohm)

1/sh

eet r

esis

tanc

e, M

BIR

(1/o

hm)

samples 1-7samples 8-9

y = 1.1294xR2 = 0.9923

0

20

40

60

80

100

120

140

0 20 40 60 80 100 120 140SIMS junction depth (nm)

MB

IR th

ickn

ess

(nm

)

0

2E+14

4E+14

6E+14

8E+14

1E+15

1.2E+15

1.4E+15

1.6E+15

0 0.002 0.004 0.006 0.008 0.01

1/sheet resistance, 4pt probe (1/ohm)

MB

IR d

ose

(1/c

m2 )

samples 1-7samples 8-9

Sheet ResistanceDoped Layer Thickness

Activated Dopant Dose

Page 15: 2009 Implantation Solution Semilab Group

15©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001su

rface

pot

entia

l

position on wafer relative to excitation LED (r)

2 concentriccapacitive electrodes

pulsed LED

rRIIdR

rU s

s π2−=−=

∂∂

⎟⎟⎠

⎞⎜⎜⎝

⎛⎟⎟⎠

⎞⎜⎜⎝

⎛+−−Θ=

∂∂ UCi

RrrJr

rI

dd

ωπ 1)(2 0

0)( 02

2

22 =−Θ+⎟⎟

⎞⎜⎜⎝

⎛+−

∂∂

+∂∂ rrJUCRi

RRr

rUr

rUr ds

d

s ω

Principle of JPV Sheet Resistance MeasurementsPrinciple of JPV Sheet Resistance Measurements

♦ Junction Photovoltage-based sheet resistance measurement: a method for non-contact implant monitoring with high-resolution mapping capability.

♦ Basic principle:• e- and hole generation by chopped LED

light• This causes change in junction voltage• Change spreads laterally, and the

attenuation depends on sheet resistance• Change of the potential is picked up by

capacitive sensors• Signal depends strongly on LED

chopping frequency (f)• Rs, Cd and Rd (Jleak) are calculated by

fitting the theoretical JPV signal

♦ Potential spreading

♦ Current spreading

♦ Equation to solve to obtain Rs

Page 16: 2009 Implantation Solution Semilab Group

16©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

JPV Sheet Resistance MeasurementsJPV Sheet Resistance Measurements

Applications and specifications♦ Implant process control (Rs depends on dose

and energy) on various implant types: USJ, deep implant, pocket implant, plasma immersion implant, etc.

♦ Dose range: >5E11cm-2

♦ Species: B, P, As, BF2, etc.

Sheet Resistance and Leakage Current Mapping

♦ Visualization of non-uniformities, implanter errors (striping, etc.) which are not detectable by low resolution methods

♦ Detects variations and inhomogeneities smaller than 1 % of the wafer average

♦ Works on oxidized and non-oxidized wafers

JPV – 4PP correlation:•Same wafer.•Top half is 4PP map (625 points)•Bottom half is JPV map (17,000 points)•Both maps takethe same time to make.

3100

3200

3300

3400

3500

3600

3700

1 2 3 4 5 6 7 8 9 10

Repeatability test on 3 different wafersRepeatability < 0.2 %

Slot 1

Slot 2

Slot 3

Page 17: 2009 Implantation Solution Semilab Group

17©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Principle of Carrier Illumination TechnologyPrinciple of Carrier Illumination Technology

Objective lens

Generation laser(830 nm red)

Probe laser(980 nm IR)

Beam splitter

CognexPatMaxVision system

Detector

2-um spot size

Junction

Excess carriers

Beam

• Generation laser creates excess carriers and, where significant damage is present, heat.

• Excess carrier gradient forms index of refraction gradient

• Probe laser uses index of refraction gradient or surface heat to determine junction depth, dose level or PAI depth.

• Generation laser is modulated (2kHz) to enable high signal/noise ratio.

Page 18: 2009 Implantation Solution Semilab Group

18©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

-12540

-12500

-12460

-12420

-12380

-12340

1.2E+15 1.3E+15 1.4E+15 1.5E+15 1.6E+15 1.7E+15Dose (cm-2)

BX

Sign

al(u

V)

Dose response on BF2 implant

Carrier Illumination TechnologyCarrier Illumination Technology

♦ Implant monitoring• Dose range: 1x1010 cm-2 to 1x1016 cm-2

• Energy range: 100 eV to 3 MeV• Species: As, B, P, BF2, In, Sb

♦ PAI depth• Depth range10 nm to 100 nm

♦ Junction depth measurement• Depth range:10 to 70 nm

♦ Scope applications for development:• Measurement on SOI substrates • Cu (metal) via structures measurement• Integration with Semilab JPV method

Measurements on Boron implanted wafers

Page 19: 2009 Implantation Solution Semilab Group

19©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Contact Probing MetrologySSM-Hg CV SSM FastGate® CV SRP

SSM 2000

..................

97-7rgm201

Page 20: 2009 Implantation Solution Semilab Group

20©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Contact Probing Metrology

1.E+10

1.E+11

1.E+12

1.E+13

1.E+14

1.E+15

1.E+16

1.E+17

1.E+18

0 2 4 6 8 10 12 14

Depth (mm)

Car

rier D

ensi

ty (c

m-3

)

SOI 1 SOI 2

n

p

oxide

n

n

Freescale ISPSD06

SOI

©2009 Semilab ALL RIGHTS RESERVED

Page 21: 2009 Implantation Solution Semilab Group

21©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Ion Implant Matrix-Sensitivity

Ion Implant Metrology Sensitivity

1E+2

1E+3

1E+4

1E+5

1E+6

1E+7

1E+9

1E+10

1E+11

1E+12

1E+13

1E+14

1E+15

1E+16

1E+17

1E+18

1E+19

Ion Dose (cm-2)

Ion

Ener

gy (e

V)

0.0

0.2

0.4

0.6

0.8

1.0

Sens

itivi

ty (Δ

sig

nal/Δ

dos

e)

Super deep w ell(CCD)

Halo

S-D extension

Retrograde Channel

Mid w ell(punch-

thru)

Deep w ell(latch up)

SIMOX

Channel

S-D contact

pSi gate

4PP

CV surface

ThermaWave

JPV

ac-SPV

Page 22: 2009 Implantation Solution Semilab Group

22©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Ion Implant Matrix-Range

Ion Implant Metrology Range

1E+2

1E+3

1E+4

1E+5

1E+6

1E+7

1E+9

1E+10

1E+11

1E+12

1E+13

1E+14

1E+15

1E+16

1E+17

1E+18

1E+19

Ion Dose (cm-2)

Ion

Ener

gy (e

V)

Super deep well(CCD)

Halo

S-D extension

Retrograde Channel

Mid well(punch-

thru)

Deep well(latch up)

SIMOX

Channel

S-D contact

pSi gateCV surface

JPV

SRP

ac-SPV

Page 23: 2009 Implantation Solution Semilab Group

23©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Case Study-AVS Insight ‘09

Former QCS

Former SSM

Former competitors learning that their techniquesare complementary in many areas. The winner in this case study is the metrology end user.

Page 24: 2009 Implantation Solution Semilab Group

24©2009 Semilab ALL RIGHTS RESERVEDSlide 090716001

Summary

♦ We have brought several powerful techniques into the Semilab family

♦ We offer multiple products for monitoring the implant/anneal processes

♦ We look forward to discussion of your needs to find the best fit.