2 Dispositivos Integrados - Unidad FPGA VHDL_1

54

description

:v

Transcript of 2 Dispositivos Integrados - Unidad FPGA VHDL_1

Page 1: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 2: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 3: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 4: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 5: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 6: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 7: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 8: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 9: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 10: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 11: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 12: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 13: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 14: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 15: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 16: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 17: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 18: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 19: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 20: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 21: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 22: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 23: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 24: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 25: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 26: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 27: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 28: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 29: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 30: 2 Dispositivos Integrados - Unidad FPGA VHDL_1

Por ejemplo:

Page 31: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 32: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 33: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 34: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 35: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 36: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 37: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 38: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 39: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 40: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 41: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 42: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 43: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 44: 2 Dispositivos Integrados - Unidad FPGA VHDL_1

Por ejemplo:

entity sumador is port (A,B: in bit_vector (3 downto 0) ; Cin: in bit; Cout: out bit; SUMA: out bit_vector( 3 downto 0)) ; end sumador;

Page 45: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 46: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 47: 2 Dispositivos Integrados - Unidad FPGA VHDL_1

1 — Ejemplo 2 library ieee; 3 use ieee.std_logic_1164.all ; 4 entity tabla is port( 5 a,b,c: in std_logic; 6 f: out std_logic); 7 end tabla; 8 architecture ejemplo of tabla is 9 begin 10 f <= '1' when (a='0' and b= '0‘ and c= '0') else 11 '1' when (a= '0‘ and b= 1 and c= '1') else 12 ‘1 ‘ when (a= '1‘ and b= '1' and c= '0') else 13 '1‘ when (a= '1' and b= '1' and c= '1’ ) else 14 '0'; 15 end ejemplo;

Page 48: 2 Dispositivos Integrados - Unidad FPGA VHDL_1

library ieee; use ieee.std_logic_1164.all; entity logic is port ( a,b,c,d,e,f: in std_logic; x1,x2,x3: out std_logic) ; end logic; architecture booleana of logic is begin x1 <= a xnor b; x2 <= ( ( (c and d) or (a xnor b) ) nand ( (e xor f) and (c and d) ) ) ; x3 <= (e xnor f) and (c and d) ; end booleana;

Page 49: 2 Dispositivos Integrados - Unidad FPGA VHDL_1

Diseño de un multiplexor El primer ejercicio consistirá en crear el diseño de un multiplexor de dos entradas de datos de un bit. Por tanto, este multiplexor tendrá una señal de selección (un bit).

Page 50: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 51: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 52: 2 Dispositivos Integrados - Unidad FPGA VHDL_1
Page 53: 2 Dispositivos Integrados - Unidad FPGA VHDL_1