100 μm - Kyoto U

12
100 μm

Transcript of 100 μm - Kyoto U

100 μm

 京都大学ナノテクノロジーハブ拠点では、多種多様な基板・薄膜材料をマイクロ~ナノスケールで加工・評価する微細加工と、高性能電子顕微鏡群による高度な微細構造解析の支援サービスを、高度専門技術職員(以下技術職員)が産官学の利用者に提供しています。利用審査手続きにより、大学・企業・研究機関の研究者・技術者のどなたにもナノテクノロジーハブ拠点の施設と装置をご利用いただけます。

 利用の形態には以下の5つの支援タイプがあり、利用目的に合致したタイプをご選択いただけます。

1) 技術相談 微細加工・計測・構造解析に関する技術相談に対して、技術職員が専門的知識を提供します。

2) 機器利用 各装置に精通した技術職員による操作指導の後に、利用者自らが装置を操作して作業を実施できます。

3) 技術補助 装置の操作指導を受けた後、適宜技術職員による支援を受けながら、利用者自身が作業を実施できます。

4) 技術代行 技術職員が利用者を代行して装置を操作し、作業を実施します。

5) 共同研究 利用者と技術職員が共同で実施する成果公開型共同研究です。

 簡単な手続き・迅速な対応により「手軽に使いたい」、「すぐに使いたい」にお応えしています。

「表紙写真」 深堀りドライエッチング装置(Deep-RIE)により Si ウェハ上に加工した京都大学マーク(直径 500µm)

 京都大学における高度研究施設・設備の共用化によるナノ テクノロジー分野の支援は、平成 14 年にスタートした文部科学省のプロジェクト「ナノテクノロジー総合支援プロジェクト(平成 14 年~ 18 年) 」 に遡ります。その後、「ナノテクノロジー・ネットワーク(平成 19 年~ 23 年) 」を経て現在の「 ナノテクノロジープラットフォーム」がスタートしました。平成 23 年には文部科学省の「低炭素社会構築に向けた研究基盤ネットワークの整備事業」(低炭素ネットワーク:LCnet)により総額 30 億円の最新鋭微細加工・評価装置群を擁する「次世代低炭素ナノデバイス創製ハブ」 の運用を開始しました。

 現在は、学際融合教育研究推進センターに設置したナノテクノロジーハブ拠点ユニットが、吉田キャンパスの「次世代低炭素ナノデバイス創製ハブ」、宇治キャンパスの微細構造解析用電子顕微鏡群および桂キャンパスの微細加工装置群の 3 拠点を開かれたハブ拠点として一体的に運用し、微細加工と微細構造解析の両面からナノテクノロジー分野の研究開発に携わる産官学の利用者を支援しています。

 教育や人材育成面では、「グリーン・ネットワーク・オブ・エクセレンス事業(GRENE)」(平成23 年~ 27 年)、「ナノテクキャリアアップアライアンス事業(CUPAL)」(平成 26 年~)と連携し、ハブ拠点を活用した高度な知識とスキルを有する人材の育成に取り組んでいます。

 研究面では、当ハブ拠点を活用して利用者の研究・開発を加速・高度化するとともに、ハブ拠点を核とした産官学の研究者・技術者ネットワークを構築し、京都大学の研究ポテンシャルを活用したアナリシス知とシンセシス知の蓄積・伝承・活用を通して、新たなイノベーション創出に貢献できるアンダーワンルーフ型の研究交流環境の構築に取り組んでいます。

⃝概要

⃝背景

2

技術相談Consultation

利用申請Application

利用審査Review

機器予約Reservation

安全教育・操作指導Safety education & Operation training

機器利用Common use

利用報告書Report

技術代行Technical surrogate

結果報告Report

共通 成果公開のみ 初回のみ

 こんなことができないか、こんなことがやりたいがどんな装置が良いか、などをお気軽にお問い合わせいただくことが利用への第一歩になります。

At Kyoto University, Nanotechnology Total Support Project was the first scientific and technological support framework in the nanotechnology field, launched by the Minister of Education, Culture, Sports, Science and Technology (MEXT) in 2002. This 5-year project aimed at promotion in the relevant research field by sharing the high-performance research facilities of university with industry-government-university researchers. From 2007 to 2011, Kyoto University participated in MEXT's subsequent project of Nanotechnology Network Japan, and in 2012 began participating in MEXT's present Nanotechnology Platform Japan (NTPJ). During this time, the Low-Carbon Nano-Device Hub was established in the university, being equipped with cutting-edge processing and evaluation facilities by injecting three billion JPY under MEXT's Low-Carbon Research Network Japan Project (LCnet) in 2011. At present the Nanotechnology Hub Unit, affiliated with the Promotion of Interdisciplinary Education and Research Center in Kyoto University, is the parent body of the NTPJ. It consists of three platforms: two nano-fabrication platforms in Yoshida and Katsura Campuses, and another nano-characterization platform in Uji Campus. The platforms in the hub unit are integrally organized to support the research and development on innovation of nanotechnology. The hub unit provides an under-one-roof type research environment to build up knowledge for generating and accelerating new scientific ideas and human interactions among worldwide researchers and engineers. At the same time, research accumulated at Kyoto University providing insight into analysis and synthesis would be utilized in novel innovation. Additionally, the hub unit has worked in cooperation with the Green Network of Excellence Project (GRENE) from 2011, and the Nanotechnology Carrier-Up Alliance Project (CUPAL) from 2014, in contributing to human resource education and development in nanotechnology.

 利用方法は成果公開と成果非公開の 2 つがあり、成果公開(利用報告書の提出)でご利用いただける利用者は利用料金が減額されます。(特許出願や論文投稿などのために,成果の公開を延期することも可能です。)一方、機密保持等のために研究成果の公開を控えたい利用者は、成果非公開(自主事業)をご利用いただけます。

技術相談  技術(利用)相談を希望される方は kyodai-hub @ saci.kyoto-u.ac.jp(微細加工)又は [email protected](微細構造解析)まで E メールでお問い合わせください。

利用申請

 利用申請は研究テーマごとに行っていただきます。利用期間は最長 1 年(成果公開は半年)で、期間を越える場合には再度利用申し込みをしていただきます。 利用者は、京都大学学際融合教育研究推進センター ナノテクノロジーハブ拠点利用内規ならびに 京都大学学際融合教育センターナノテクノロジーハブ拠点利用負担金内規および利用料金等、秘密保持、安全・衛生、その他に同意いただきます。 利用申請は利用申請書を添付の上、E メールでご送付ください 。

利用審査  運営委員会の審査で受入許可されたテーマについては、ユーザー登録を行い、ユーザー ID を発行します。 受入れ審査結果、ユーザー ID については登録されたメールアドレス宛にお知らせします。

機器予約 装置予約をしたい方は、装置等予約申込書に必要事項を記入の上、E メールで上記アドレスまでご連絡ください。 予約は使用予定日の 1 年前から 3 営業日前まで受け付けます。

安全教育・操作指導  初回のみ実施。装置等の利用者は、ナノハブ拠点によるこれらの教育を受けた方に限ります。

機器利用(技術補助)  利用者自らが装置を操作し、希望する実験を行えます。必要に応じて技術職員が補助します(技術補助)。

利用報告書  利用報告書を提出していただきます。※成果公開のみ (特許出願、論文発表のため公開を 2 年間延期できます。)

 京都大学ナノテクノロジープラットフォーム事業の詳しい内容(利用方法、提供装置の仕様、利用料金など)につきましては、以下の URL をご参照ください。

参照 URL:http://www.mnhub.cpier.kyoto-u.ac.jp/

⃝利用方法

⃝ Nanotechnology�Hub�in�Kyoto�University

3

施設・装置紹介�1/2��

【ナノリソグラフィー装置群】

A01高速高精度電子ビーム描画装置Ultra-High Precision Electron Beam Lithography

エリオニクスELS-F125HS

ZrO/W 熱電界放射型125 kV分解能:5 nm

A02露光装置(ステッパー)i-line Stepper

ニコンNSR-2205i11D

0.35 µm 以下i 線(365 nm)縮小倍率 1/5 倍

A03レーザー直接描画装置Laser Pattern Generator

Heiderberg InstrumentsDWL2000

200 mm □分解能:0.7 µm

A04高速マスクレス露光装置High Speed Maskless LED Lithography

ナノシステムソリューションズDL-1000GS/KCH

LED 405 nm分解能:1 µm

A05両面マスクアライナーManual High Precision Double-Sided Mask Aligner

ズース・マイクロテックMA/BA6

アライメント精度±0.5 µm (TSA)±0.1 µm (BSA)

A07厚膜フォトレジスト用スピンコーティング装置Advanced Spin Coater

ズース・マイクロテックDelta80

エッジリンスバックリンスベーパープライマ

(HMDS)GYRSET Systemホットプレート

A09スプレーコータPhotoresist Spray Coater

ウシオUSC-2000ST

マスフローコントローラステージ温調

(25 ~ 120 ℃)

A10レジスト現像装置Photoresist Developer

カナメックスKD-150CBU

パドル&スプレーTMAH 2.38 %

A15大面積超高速電子線描画装置Large Area and Ultra-High Speed Electron Beam Lithography

アドバンテストF7000S-KYT01

LB6 電子銃50 kV1X nm (18 nm)CP/VSB

吉田拠点・桂拠点

イエロールーム(クラス 100)

加工・評価室1(クラス 100,000)

サテライトオフィス

クリーンルーム(クラス 1,000)

イエロールーム(桂)(クラス 1,000)

技術職員加工・評価室2(国際科学イノベーション棟)

4

施設・装置紹介�1/2��【ナノ材料加工・創製装置群】

B01・B02多元スパッタ装置RF Magnetron Multi-Sputter

キヤノンアネルバEB-1100

非磁性体φ4"PMC加熱 800 ℃1000 WAr、O2 対応

B03電子線蒸着装置Electron Beam Evaporator

キヤノンアネルバEB-1200

10 kW4 連 E 型電子銃300 ℃Al、Pt、Ti、Cr 等

B05プラズマ CVD 装置Plasma-Enhanced Chemical Vapor Deposition System

住友精密工業MPX-CVD

TEOS0.1 µm/min 以上

(標準レシピ)

B06集束イオンビーム / 走査電子顕微鏡Cross-Beam with Focused Ion Beam and FE-SEM

エスアイアイ・ナノテクノロジーNvision40PI

FIBFE-SEM EDXEBSD 検出器ナノインデンタ―

B08深堀りドライエッチング装置Reactive Ion Deep Silicon Etcher

サムコRIE-800iPB-KU

ICP55 µm/min(Si ボッシュ)静電チャック

(温度制御)

B09磁気中性線放電ドライエッチング装置 Magnetic Neutral Loop Discharge Plasma Dry Etcher

アルバックNLD-570

エッチング材料石英・ガラス・水晶・金属酸化物等

メカチャック(温度制御)

B11電子サイクロトロン共鳴イオンビーム加工装置Electron Cyclotron Resonance Ion Shower

エリオニクスEIS-1200

Ar、N2、O2、CHF330 ~ 3 kV1.0 mA/cm2 以上

B12シリコン酸化膜犠牲層ドライエッチングシステムVapor HF Release Etcher

住友精密工業MLT-SLE-0x

無水フッ化水素(HF)+アルコール添加~ 30 nm/min

(SiO2)

B13シリコン犠牲層ドライエッチングシステムVapor XeF2 Release Etcher

住友精密工業Xetch X3B

XeF2

選択比~ 4000 倍3 µm/min (Si)

B14赤外フェムト秒レーザ加工装置Femtosecond Cr:Forsterite Laser System

東京インスツルメンツCrF-65

1230 ~ 1270 nm180 ~ 250 mWパルス幅<100 fs

B15レーザアニール装置KrF Laser Annealing System

AOVLAEX-1000

248 nmビーム縮小率 8.05500 mJ/cm2

分解能:5.0 µm (Line & Space)

B17基板接合装置Wafer Bonder

ズース・マイクロテックSB8e

陽極接合・拡散接合・共晶接合等室温~ 500 ℃17 kN

B18レーザダイシング装置Laser Stealth Dicer

東京精密ML200

YAGレーザー1064 nm4 W

ダイシング材料シリコンのみ

B19・B56ダイシングソーAutomatic Dicing Saw

ディスコDAD322

X:160 mmY:162 mm0.1~500 mm/sec

ダイシング材料Si・石英・ガラス・LiTaO3 等

B23ウェッジワイヤボンダUltrasonic Insulated Wire Bonder

ハイソル7476D

US/TC/サーモソニック式10 ~ 100 g金線及び Al 線

(φ18 ~ 50 µm)

5

【ナノ材料分析・評価装置群】

C18真空プローバVacuum Probe System

カスケードマイクロテックPLV50

10 ~ 10-2 Paプローブ数 4SMA

C11分光エリプソメーターSpectral Ellipsometer

大塚電子FE-5000

0.1 nm ~ 1 µm膜厚屈折率消衰係数絶対反射率

C05共焦点レーザー走査型顕微鏡Confocal Laser Scanning Microscope

オリンパスFV1000

405 nm473 nm559 nm100X

C01超高分解能電界放出形走査電子顕微鏡 Ultra-High Resolution Filed Emission SEM

日立ハイテクノロジーズSU-8000

1.0 nm@15 kV1.4 nm@1 kV二次電子像反射像STEM 像

C19パワーデバイスアナライザPower Device Analyzer & Curve Tracer

アジレントテクノロジーB1505A

ハイパワー SMU高電流 SMU高電圧 SMUマルチ周波数容量測定

C13ゼータ電位・粒径測定システムZeta Potential & Particle Size Analyzer

大塚電子ELSZ-2Plus

ゼータ電位−200 ~ 200 mV粒子径0.6 nm ~ 7 µmpH タイトレーションシステム

C09長時間撮影蛍光イメージングシステムTime-Lapse Fluorescence Microscope

オリンパスIX81-ZDC2 ( 部品名 )

タイムラプス撮影5 次元の画像取得画像解析ソフト内蔵

C02分析走査電子顕微鏡Analytical Variable-Pressure Field Emission SEM

日立ハイテクノロジーズSU-6600

1.2 nm@30 kV3.0 nm@1 kVEDXEBSD低真空モード

C22超微小材料機械変形評価装置Nano-Indenter

エリオニクスENT-2100

荷重範囲:1 µN ~ 100 mN変異測定範囲:0 ~ 50 µm三角錐圧子:稜間角 115 ゙

C16マイクロシステムアナライザMicro System Analyzer

ポリテックジャパンMSA-500-TPM2-20-D

1 Hz ~ 1 MHz( 面外振動測定 )HF 速度~2.5 MHzHF 変位~ 20 MHz( 面内振動測定 )

C10X 線回折装置Intelligent X-Ray Diffractometer

リガクSmartLab

試料水平保持多層薄膜粉末評価45 kV200 mA

C04走査型プローブ顕微鏡システムBioscience Atomic Force Microscope

JPK インスツルメンツNanoWizard III

X,Y=100 µmZ=100 µm位置制御 1 nm感度 2 pN

サンプル高さ30 mm 対応可

B26ナノインプリントシステムNanoimprint Lithography

Obducat TechnologiesEitre 3

熱・UV200 ℃樹脂製レプリカモールド

(IPS)

B51パリレン成膜装置Parylene Coater

日本パリレンPDS-2010

0.032 m3

120 g

原料ダイマーDPXCDPXN

B54ウエハ接合装置Surface Activated Wafer Bonder

ボンドテックWAP-100

Max 200 N60 ~ 450 ℃シーケンシャルプラズマ接合等

施設・装置紹介�2/2��

6

【微細構造解析装置群】

D05精密イオン研磨装置Precise Ion Milling Apparatus

ガタンModel691/PIPS

0.1 ~ 5 kV低温ステージ

D03モノクロメータ搭載低加速原子分解能分析電子顕微鏡Monochromated Atomic Resolution Analytical Electron Microscope

日本電子JEM-ARM200F

分解能:0.1 nm 以下EELS, EDS 分析200/60 kV

D01極低温高分解能透過電子顕微鏡Ultralow-Temperature High-Resolution Transmission Electron Microscope

日本電子JEM-2100F(G5)

分解能:0.2 nm試料温度:4 K200 kV

D04集束イオンビーム加工装置Focused Ion Beam Machining Apparatus

日本電子JEM-9310FIB

分解能:8 nm5 ~ 30 kV10 nAGa イオン

D02球面収差補正透過電子顕微鏡Spherical-Aberration-Corrected Transmission Electron Microscope

日本電子JEM-2200FS(CEOS CETCOR 付 )

分解能:0.1 nmEELS 分析200 kV

D06ミクロトームMicrotome Apparatus

ライカULTRA CUT UCT

クライオステージ

D07ディンプリング装置Dimpling Apparatus

サウスベイテクノロジーズD500i

電顕観察用試料作製

超高分解能分光型電子顕微鏡棟(奥)と極低温電子顕微鏡棟(手前)

観察風景(電子顕微鏡操作室)

施設・装置紹介�2/2��

宇治拠点

7

A. ナノリソグラフィー装置群

A01 高速高精度電子ビーム描画装置 /Ultra-High Precision Electron Beam Lithography エリオニクス / ELS-F125HS CR ★

A02 露光装置(ステッパー)/ i-line Stepper ニコン / NSR-2205i11D CR ★

A03 レーザー直接描画装置 / Laser Pattern Generator Heiderberg Instruments/ DWL2000 CR ★

A04 高速マスクレス露光装置 / High Speed Maskless Laser Lithography

ナノシステムソリューションズ / D-light DL-1000GS/KCH CR ★

A05 両面マスクアライナー /Manual High Precision Double-Sided Mask Aligner ズース・マイクロテック / MA6 BSA SPEC-KU/3 CR ★

A06 紫外線露光装置 / Contact Mask Aligner ミカサ / MA-10 CR

A07 厚膜フォトレジスト用スピンコーティング装置 / Advanced Spin Coater ズース・マイクロテック / DELTA80 T3/VP SPEC-KU CR ★

A08 レジスト塗布装置 / Photoresist Spin Coater カナメックス / KRC-150CBU CR

A09 スプレーコータ / Photoresist Spray Coater ウシオ電機 / USC-2000ST CR ★

A10 レジスト現像装置 / Photoresist Developer カナメックス / KD-150CBU CR ★

A11 ウエハスピン洗浄装置 / Wafer Spin Cleaner カナメックス / KSC-150BU CR

A12 ウェハ汚染計測装置 / Inductively Coupled Plasma Mass Spectrometer アジレント・テクノロジー Agilent 7700s CR

A13 液滴吐出描画装置 / Super Fine Inkjet Printer SIJ テクノロジ / F700S CR

A14 有機現像液型レジスト現像装置 / EB-Resist Developer カナメックス 8 KD(EB)-150CBU CR

A15 大面積超高速電子線描画装置 / Large Area and Ultra-High Speed Electron Beam Lithography アドバンテスト / F7000S-KYT01 加工評価室 1

(CR) ★

A51 EB 露光装置 / Electron Beam Lithography 東京テクノロジー / BEAM DRAW 桂 (CR)

A52 ステッパ / g-line Stepper 大日本科研 / KS-7000 桂 (CR)

A53 移動マスク紫外線露光装置/ Moving Mask UV Lithography 大日本科研 / MUM-0001 桂 (CR)

A54 両面マスクアライナー露光装置 / Double-Sided Mask Aligner ユニオン光学 / PEM-800 桂 (CR)

B. ナノ材料加工・創製装置群

B01 多元スパッタ装置(仕様A)/RF Magnetron Multi-Sputter Type-A キヤノンアネルバ / EB-1100 加工評価室 1 ★

B02 多元スパッタ装置(仕様B)/RF Magnetron Multi-Sputter Type-B キヤノンアネルバ / EB-1100 加工評価室 1 ★

B03 電子線蒸着装置 / Electron Beam Evaporator キヤノンアネルバ / EB-1200 CR ★

B04 真空蒸着装置 / Thermal Evaporator サンバック / RD-1400 加工評価室 1

B05 プラズマ CVD 装置 /Plasma-Enhanced Chemical Vapor Deposition System 住友精密工業 / MPX-CVD CR ★

B06 集束イオンビーム走査電子顕微鏡 /Cross-Beam with Focused Ion Beam and FE-SEM エスアイアイ・ナノテクノロジー / Nvision40PI 加工評価室 1 ★

B07 熱酸化炉 / Thermal Oxidation Furnace 光洋サーモシステム / MT-8X28-A CR

B08 深堀りドライエッチング装置 / Reactive Ion Deep Silicon Etcher サムコ / RIE-800iPB-KU CR ★

B09 磁気中性線放電ドライエッチング装置 /Magnetic Neutral Loop Discharge Plasma Dry Etcher アルバック / NLD-570 CR ★

B10 ドライエッチング装置 /Capacitive Coupled Plasma Reactive Ion Etcher サムコ / RIE-10NR-KF CR

B11 電子サイクロトロン共鳴イオンビーム加工装置 /Electron Cyclotron Resonance Ion Shower エリオニクス / EIS-1200 CR ★

B12 シリコン酸化膜犠牲層ドライエッチングシステム /Vapor HF Release Etcher 住友精密工業 / MLT-SLE-Ox CR ★

B13 シリコン犠牲層ドライエッチングシステム / Vapor XeF2 Release Etcher XACTIX/ Xetch X3B CR ★

B14 赤外フェムト秒レーザ加工装置 /Femtosecond Cr:Forsterite Laser System AVESTA PROJECT/ CrF-65 CR ★

B15 レーザアニール装置 / KrF Laser Annealing System AOV/ LAEX-1000 CR ★

B16 紫外線ナノインプリントボンドアライメント装置 /UV-Nanoimprint Lithography & Infrared Mask/Bond Aligner ズース・マイクロテック / MA8/BA8 Gen3 SPEC-KU CR

No. 装置名 / Equipment name メーカー名 / 型番 設置場所 写真

装置リスト�1/2��

8

No. 装置名 / Equipment name メーカー名 / 型番 設置場所 写真

B17 基板接合装置 / Wafer Bonder ズース・マイクロテック / SB8e SPEC-KU CR ★

B18 レーザダイシング装置 / Laser Stealth Dicer 東京精密工業 / Mahoh Dicer ML200 加工評価室 1 ★

B19 ダイシングソー / Automatic Dicing Saw ディスコ / DA D322 加工評価室 1 ★

B20 真空マウンター / Wafer Vacuum Mounter 日本電気 / VTL-201 加工評価室 1

B21 紫外線照射装置 / UV Curing System テクノビジョン / LED-4082 加工評価室 1

B22 エキスパンド装置 / Die Matrix Expander テクノビジョン / TEX-21BG GR-5 加工評価室 1

B23 ウェッジワイヤボンダ / Ultrasonic Insulated Wire Bonder WEST BOND/ 7476D 加工評価室 2 ★

B24 ボールワイヤボンダ / Ball Wire Bonder WEST BOND/ 7700D 加工評価室 2

B25 ダイボンダ / Dual Head Epoxy Die Bonder WEST BOND/ 720CE 加工評価室 2

B26 ナノインプリントシステム / Nanoimprint Lithography Obducat/ Eitre 3 CR ★

B27 赤外透過評価検査・非接触厚み測定機 / Infrared MEMS Analyzer モリテックス / IRise-T CR

B51 パリレン成膜装置 / Parylene Coater SCS/ LABCOTER PDS-2010 桂 ★

B52 ICP-RIE 装置 / Inductive Coupled Plasma Reactive Ion Etcher アルバック / NE-730 桂 (CR)

B53 簡易 RIE 装置 / Tabletop Reactive Ion Etcher サムコ / FA-1 桂 (CR)

B54 ウエハ接合装置 / Surface Activated Wafer Bonder ボンドテック / WAP-100 桂 (CR) ★

B55 ナノインプリント装置 / Nanoimprint Lithography マル二 / TP-32937 桂 (CR)

B56 ダイシング装置 / Automatic Dicing Saw ディスコ / DAD322 桂 ★

C. ナノ材料分析・評価装置群

C01 超高分解能電界放出形走査電子顕微鏡 /Ultra-High Resolution Filed Emission SEM 日立ハイテクノロジーズ / SU-8000 加工評価室 1 ★

C02 分析走査電子顕微鏡 /Analytical Variable-Pressure Field Emission SEM 日立ハイテクノロジーズ / SU-6600 加工評価室 1 ★

C03 高速液中原子間力顕微鏡 / Liquid-Enviroment High Speed AFM 生体分子計測研究所 / NLV-KS 加工評価室 2

C04 走査型プローブ顕微鏡システム /Bioscience Atomic Force Microscope JPK インスツルメンツ AG/ NanoWizard III NW3-XS-0 加工評価室 2 ★

C05 共焦点レーザー走査型顕微鏡 /Confocal Laser Scanning Microscope オリンパス / FV1000 加工評価室 2 ★

C06 3D 測定レーザー顕微鏡 / 3D Measuring Laser Microscope オリンパス / OLS4000-SAT 加工評価室 1

C07 顕微鏡観察・測定装置 / Optical Microscope ミツトヨ / MF-UB2010C CR/ 加工評価室

C08 全反射励起蛍光イメージングシステム /Total Internal Reflection Fluorescence Microscope オリンパス / TIRFM 加工評価室 2

C09 長時間撮影蛍光イメージングシステム /Time-Lapse Fluorescence Microscope オリンパス / IX81-ZDC2 加工評価室 2 ★

C10 X 線回折装置 / Intelligent X-Ray Diffractometer リガク / SmartLab-9K 加工評価室 1 ★

C11 分光エリプソメーター / Spectral Ellipsometer 大塚電子 / FE-5000 CR ★

C12 三次元粒子トラッキングシステム / Optical Tweezers & 3D Trapping System JPK インスツルメンツ AG/ NanoTracker NT-0-001 加工評価室 2

C13 ゼータ電位・粒径測定システム / Zeta Potential & Particle Size Analyzer 大塚電子 / ELSZ-2Plus 加工評価室 2 ★

C14 ダイナミック光散乱光度計 /Dynamic Light Scattering Spectrophotometer 大塚電子 / DLS-8000DH 加工評価室 2

C15 触針式段差計 / Stylus Profilometer BRUKER/ Dektak150 CR

C16 マイクロシステムアナライザ / Micro System Analyzer ポリテック / MSA-500-TPM2-20-D-KU 加工評価室 1 ★

C17 プローバ / Prober 日本マイクロニクス / 708fT 加工評価室 1

C18 真空プローバ / Vacuum Probe System カスケードマイクロテック / PLV50 加工評価室 1 ★

C19 パワーデバイスアナライザ / Power Device Analyzer & Curve Tracer アジレントテクノロジー / B1505A 加工評価室 1 ★

C20 インピーダンスアナライザ / Precision Impedance Analyzer アジレントテクノロジー / 4294A 加工評価室 1

C21 光ヘテロダイン微小振動測定装置 /Optical Heterodyne Laser Doppler Vibrometer ネオアーク / MLD-230D-200K 加工評価室 2

装置リスト�1/2��

9

C22 超微小材料機械変形評価装置 / Nano-Indenter エリオニクス / ENT-2100 加工評価室 1 ★

C23 デジタルマイクロスコープ / Digital Microscope キーエンス / VHX1000 CR/ 加工評価室   

C24 セルテストシステム / Solar Cell Tester ソーラトロン / 1470E-Type/1260 型 加工評価室 2  

C25 卓上顕微鏡 (SEM)/ Tabletop SEM 日立ハイテクノロジーズ / TM3000 CR  

C26 マニュアルプローバ / Manual Prober アポロウエーブ / α 150 加工評価室 1  

C27 RF プローブキット / RF Microwave Probe Kit アポロウエーブ / ZPROEE 加工評価室 1  

C28 ネットワークアナライザ / Vector Network Analyzer ROHDE & SCHWARZ/ R&S ZVB 加工評価室 1  

C29 半導体パラメータアナライザ / Semiconductor Parameter Analyzer ケースレーインスツルメント / 4200-SCS 加工評価室 1  

D. 微細構造解析装置群

D01 極低温高分解能透過電子顕微鏡 / Ultralow-Temperature High-Resolution Transmission Electron Microscope

日本電子 / JEM-2100F(G5) 極低温電顕棟 ★

D02 球面収差補正透過電子顕微鏡 /Spherical-Aberration-Corrected Transmission Electron Microscope

日本電子 / JEM-2200FS 極低温電顕棟 ★

D03 モノクロメータ搭載低加速原子分解能分析電子顕微鏡 /Monochromated Atomic Resolution Analytical Electron Microscope

日本電子 / JEM-ARM200F 超高分解能分光型電子顕微鏡棟 ★

D04 集束イオン研磨装置 / Focused Ion Beam Machining Apparatus 日本電子 / JEM-9310FIB 超高分解能分光型

電子顕微鏡棟 ★

D05 精密イオン研磨装置 / Precise Ion Milling Apparatus ガタン / Model691/PIPS 超高分解能分光型電子顕微鏡棟 ★

D06 ミクロトーム / Microtome Apparatus ライカ / ULTRA CUT UCT 超高分解能分光型電子顕微鏡棟 ★

D07 ディンプリング装置 / Dimpling Apparatus サウスベイテクノロジーズ / D500i 超高分解能分光型電子顕微鏡棟 ★

その他

有機用ドラフト / Draft Chamber (Organic Solvents) 島津理化 / TCF-S-1500S CR

無機用ドラフト / Draft Chamber (Acid/Alkaline) 島津理化 / CSP-1500S CR

クリーンベンチ / Clean Bench 日立産機システム CR/ 加工評価室

マニュアルスピンコーター / Manual Spin Coater ミカサ / MS-A200 CR

オーブン / Inert Oven ヤマト科学 / DN610I/DE610 CR

真空オーブン / Vacuum Oven アズワン / AVO-200NB CR

ホットプレート / Hot Plate アズワン / TH-900・DP2S・ND-2 CR

恒温槽 / Thermostat Bath ヤマト科学 / BA-300 CR

超音波洗浄機 / Ultrasonic Cleaner シャープ / UT-206H CR

イオンミリング / Cross-Section Ion Milling 日立ハイテクノロジーズ / E-3500 加工評価室 1

カーボンコーター / Carbon Coater 真空デバイス / BC-100S 加工評価室 1

スパッタコーター / Sputter Coater エルミネット / K575XD 加工評価室 1

実体顕微鏡 / Stereoscopic Microscope オリンパス / SZ-61 CR/ 加工評価室

分析電子天秤 / Analytical Balance 島津製作所 / AUX220 CR/ 桂 (CR)

デジタルオシロスコープ / Digital Oscilloscope キーサイトテクノロジー / MSOX2014A 加工評価室 2

波形発生器 / Waveform Generator キーサイトテクノロジー / 33510B 加工評価室 2

卓上型脱気シーラ―/ Tabletop Degassing Sealer 富士インパルス / V-301-10W CR

フロストドライデシケータ―/ Auto-Dry Desiccator アズワン / ジャンボ WPA CR

回路 & レイアウト設計ツール / ICs Design & Layout Editor Tanner Research/ Tanner EDA -

ビデオ会議システム / Telepresence & Video Conferencing System ポリコム / HDX 6000 -

No. 装置名 / Equipment name メーカー名 / 型番 設置場所 写真

装置リスト�2/2��

10

新規デバイス向けウェハ微細パターニング

電子線描画装置入門コース(CUPAL)

ナノ構造による光制御技術

X線1分子動態計測用マイクロチャンバの開発

ナノ構造薄膜を用いた発熱の空間的時間的制御

鉛フリー圧電薄膜応用デバイスの作製

3 次元レジスト形状の作製

ソウトテンプレート法による金属ナノリングの作製

A 社

神戸大学

C 社

福井大学・京都大学

京都大学

B 社

京都大学

東京理科大学

Si 用プラズマダイシング技術の開発※エッチングは A 社で実施

光取出し用ランダム回折構造の作製

3 次元フォトレジスト形状の作製京都大学・デルフト工科大学(オランダ)

局所プラズモン共振器マイクロ流路の作製

鉛フリー圧電薄膜を用いたSi ベースの 3 軸角速度センサー

3D リソグラフィ用プロセス最適化シミュレータを開発

金属ナノリングの STEM-HAADF 像と元素マップHAADF:High-angle Annual Dark Field Scanning

平成 27 年 1 月 日経産業新聞

ギャップ電極 シリコンピラー

Fig. : Optical reflectance spectra of local plasmon resonators with dpcl = 220 and 300 nm.

電子線描画装置を用いた実習(人材育成プログラム)

利用事例��

200µm

Piezoelectric thin film

Top electrodes

Bottom electrode

10nm

HAADF Ni Pd

チップサイズ:1.7mm □

11

➡JR黄檗駅

京阪黄檗駅⬆

桂御陵坂

⬇国道9号線

Cクラスター

Bクラスター

Aクラスター

百万遍

京大正門前

京大農学部前⬅京阪出町柳駅

今出川通

正門

東大路通

桂拠点

宇治拠点

・桂駅(阪急)西口から 市バス西 6 系統「桂坂中央」行き、 または 京阪京都バス 20・20B 系「桂坂中央」行き 「桂御陵坂」下車・桂川駅(JR)から 京阪京都バス 22 系統「桂坂中央」行き、 または ヤサカバス 6 系統「桂坂中央」行き 「桂御陵坂」下車・京都駅(JR、近鉄)から 京阪京都バス 21・21A 系統「桂坂中央」行き 「桂御陵坂」下車

・京都駅(JR、近鉄)から JR奈良線「黄檗駅」下車、徒歩約 7 分・淀屋橋駅(京阪)から 「中書島駅」経由、京阪宇治線「黄檗駅」下車、徒歩約 10 分

京都大学 学際融合教育研究推進センター ナノテクノロジーハブ拠点 (略称:ナノハブ)URL:http://www.mnhub.cpier.kyoto-u.ac.jp/

吉田・桂拠点

宇治拠点

〒606-8501 京都市左京区吉田本町 京都大学 工学部物理系校舎327 号室 ナノテクノロジーハブ拠点TEL: 075-753-5231 FAX: 075-753-5650E-mail: [email protected]

〒611-0011 京都府宇治市五ヶ庄 京都大学 化学研究所 複合ナノ解析化学研究領域 京大微細構造解析プラットフォーム事務局TEL: 0774-38-3051 FAX: 0774-38-3055E-mail: [email protected]

桂キャンパス(C クラスター)

宇治キャンパス

C3 棟 cB1 N03機械系 CR 管理室

超高分解能分光型電子顕微鏡棟3階 16 号室

▶アクセス

▶連絡先

吉田キャンパス(本部構内)

工学部物理系校舎(57 番棟)327 室ナノテクノロジーハブ拠点 事務室

吉田拠点・出町柳駅(京阪)から 徒歩(東へ)約 15 分・京都駅(JR、近鉄)から 市バス 206 系統「東山通・北大路バスターミナル」行き 「京大正門前」または「百万遍」下車 市バス 17 系統「銀閣寺 ・ 錦林車庫」行き 「百万遍」または「京大農学部前」下車・四条河原町駅(阪急)から 市バス 201 系統「祇園・百万遍」 または 31 系統「高野・岩倉」行き 「京大正門前」または「百万遍」下車 市バス 17 系統「銀閣寺 ・ 錦林車庫」行き 「百万遍」または「京大農学部前」下車