Virtual Point-to-Point Connections for NoCs

Post on 14-Jan-2016

41 views 0 download

Tags:

description

Virtual Point-to-Point Connections for NoCs. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 6, JUNE 2010. Reporter: Bo-Yi Shiu Date: 2011/05/27. Mehdi Modarressi , Arash Tavakkol , and Hamid Sarbazi -Azad. Outline. Introduction - PowerPoint PPT Presentation

Transcript of Virtual Point-to-Point Connections for NoCs

Reporter: Bo-Yi Shiu

Date: 2011/05/27

Virtual Point-to-Point Connections for NoCs

Mehdi Modarressi, Arash Tavakkol, and Hamid Sarbazi-Azad

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND

SYSTEMS, VOL. 29, NO. 6, JUNE 2010

Outline

Introduction Proposed NoC Architecture Static VIPs Dynamic VIPs Experimental Results Conclusion

Introduction

Among different on-chip communication mechanisms, point-to-point connections where packets travel on dedicated pipelined wires which directly connect their source and destination nodes can yield the ideal performance and power results.

Poor scalability and considerable area overhead are the important drawbacks of dedicated links.

Proposed NoC Architecture

Static VIPs

Most multicore SoC programs have a small number of communication flows through which each core communicate with a small number of other cores. Moreover, the traffic pattern of such applications is known in advance.

Static VIPs

Physically map the cores of the application into different nodes of a mesh-connected NoC

Establish as many VIP connections as possible for the communication flows of the application.

Direct the flows for which a VIP could not be constructed through packet-switched network, in such a way that the total power consumption and latency of the NoC is minimized.

Static VIPs

Dynamic VIPs

This approach is useful in the cases where it is not possible to know in advance the exact communication pattern of running applications.

Dynamic VIPs

Dynamic VIPs

Experimental Results

Experimental Results

Experimental Results

Experimental Results

Conclusion

In this paper, we presented a packet-switched router architecture that can results in lower power consumption and packet latency by dedicating VIP connections between the source and destination nodes of heavy communication flows.