Virtual Point-to-Point Connections for NoCs

15
Reporter: Bo-Yi Shiu Date: 2011/05/27 Virtual Point-to-Point Connections for NoCs Mehdi Modarressi, Arash Tavakkol, and Hamid Sarbazi-Azad IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 6, JUNE 2010

description

Virtual Point-to-Point Connections for NoCs. IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 6, JUNE 2010. Reporter: Bo-Yi Shiu Date: 2011/05/27. Mehdi Modarressi , Arash Tavakkol , and Hamid Sarbazi -Azad. Outline. Introduction - PowerPoint PPT Presentation

Transcript of Virtual Point-to-Point Connections for NoCs

Page 1: Virtual Point-to-Point Connections for  NoCs

Reporter: Bo-Yi Shiu

Date: 2011/05/27

Virtual Point-to-Point Connections for NoCs

Mehdi Modarressi, Arash Tavakkol, and Hamid Sarbazi-Azad

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND

SYSTEMS, VOL. 29, NO. 6, JUNE 2010

Page 2: Virtual Point-to-Point Connections for  NoCs

Outline

Introduction Proposed NoC Architecture Static VIPs Dynamic VIPs Experimental Results Conclusion

Page 3: Virtual Point-to-Point Connections for  NoCs

Introduction

Among different on-chip communication mechanisms, point-to-point connections where packets travel on dedicated pipelined wires which directly connect their source and destination nodes can yield the ideal performance and power results.

Poor scalability and considerable area overhead are the important drawbacks of dedicated links.

Page 4: Virtual Point-to-Point Connections for  NoCs

Proposed NoC Architecture

Page 5: Virtual Point-to-Point Connections for  NoCs

Static VIPs

Most multicore SoC programs have a small number of communication flows through which each core communicate with a small number of other cores. Moreover, the traffic pattern of such applications is known in advance.

Page 6: Virtual Point-to-Point Connections for  NoCs

Static VIPs

Physically map the cores of the application into different nodes of a mesh-connected NoC

Establish as many VIP connections as possible for the communication flows of the application.

Direct the flows for which a VIP could not be constructed through packet-switched network, in such a way that the total power consumption and latency of the NoC is minimized.

Page 7: Virtual Point-to-Point Connections for  NoCs

Static VIPs

Page 8: Virtual Point-to-Point Connections for  NoCs

Dynamic VIPs

This approach is useful in the cases where it is not possible to know in advance the exact communication pattern of running applications.

Page 9: Virtual Point-to-Point Connections for  NoCs

Dynamic VIPs

Page 10: Virtual Point-to-Point Connections for  NoCs

Dynamic VIPs

Page 11: Virtual Point-to-Point Connections for  NoCs

Experimental Results

Page 12: Virtual Point-to-Point Connections for  NoCs

Experimental Results

Page 13: Virtual Point-to-Point Connections for  NoCs

Experimental Results

Page 14: Virtual Point-to-Point Connections for  NoCs

Experimental Results

Page 15: Virtual Point-to-Point Connections for  NoCs

Conclusion

In this paper, we presented a packet-switched router architecture that can results in lower power consumption and packet latency by dedicating VIP connections between the source and destination nodes of heavy communication flows.