Post on 21-Jun-2015
Systems-on-a-chip overview
Dmitry Medvedev, TUSUR.
My background
• Microcontroller programming• Circuit design: schematics and printed circuit
boards (PCBs)• Integrated circuits design• Measuring apparatus• Digital signal processing (DSP)
What is SoC?
A system-on-a-chip is an integrated circuit that integrates all components of computer or other electronic system into a single chip.
What is SoC?
SoC architecture
SoC platforms comparisonTI OMAP 4 NVIDIA
Tegra 2 Apple A4 Qualcomm Marvell Armada 500
Architecture Cortex-A9 ARMv11 Cortex-A8 SnapDragon XScale
Core number 2 2 1 2 1
Frequency 1-1.5 GHz 1 GHz 0.8-1 GHz 0.8-1.5 GHz 1-1.25 GHz
Controllers USB, SATA USB, SATA, HDMI Proprietary SATA USB 2.0,
SATA, PCI-E
Utilizing Devices
RIM BlackBerry
Playbook, LG Optimus 3D
Samsung Galaxy Tab, LG Optimus
Pad
iPad, iPhone 4, Apple TV
HTC Inspire, HP Touch-
Pad
Quanta Netbook (concept)
SoC applications
What is unique about ARM architectures?
ARM-based chips can be found not only inside cell phones and tablet PC, but also set-top-boxes and game consoles.The main reasons of ARM popularity are:• relatively low cost• high performance• low power consumption.
FPGA is an integrated circuit designed to be configured by the customer or designer after manufacturing.
SoC and FPGA
FPGA structure
VHDL Example-- (this is a VHDL comment)-- import std_logic from the IEEE librarylibrary IEEE;use IEEE.std_logic_1164.all;
-- this is the entityentity ANDGATE is
port (I1 : in std_logic;I2 : in std_logic;O : out std_logic);
end entity ANDGATE;architecture RTL of ANDGATE is begin
O <= I1 and I2;end architecture RTL;
Matlab/Simulink modeling
Example of quadrature digital receiver
Evaluation boards
THANKS FOR ATTENTION!
My e-mail: 2i.medvedev@gmail.com