Pres
-
Upload
artem-bydnikov -
Category
Technology
-
view
232 -
download
9
Transcript of Pres
![Page 1: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/1.jpg)
Systems-on-a-chip overview
Dmitry Medvedev, TUSUR.
![Page 2: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/2.jpg)
My background
• Microcontroller programming• Circuit design: schematics and printed circuit
boards (PCBs)• Integrated circuits design• Measuring apparatus• Digital signal processing (DSP)
![Page 3: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/3.jpg)
What is SoC?
A system-on-a-chip is an integrated circuit that integrates all components of computer or other electronic system into a single chip.
![Page 4: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/4.jpg)
What is SoC?
![Page 5: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/5.jpg)
SoC architecture
![Page 6: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/6.jpg)
SoC platforms comparisonTI OMAP 4 NVIDIA
Tegra 2 Apple A4 Qualcomm Marvell Armada 500
Architecture Cortex-A9 ARMv11 Cortex-A8 SnapDragon XScale
Core number 2 2 1 2 1
Frequency 1-1.5 GHz 1 GHz 0.8-1 GHz 0.8-1.5 GHz 1-1.25 GHz
Controllers USB, SATA USB, SATA, HDMI Proprietary SATA USB 2.0,
SATA, PCI-E
Utilizing Devices
RIM BlackBerry
Playbook, LG Optimus 3D
Samsung Galaxy Tab, LG Optimus
Pad
iPad, iPhone 4, Apple TV
HTC Inspire, HP Touch-
Pad
Quanta Netbook (concept)
![Page 7: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/7.jpg)
SoC applications
![Page 8: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/8.jpg)
What is unique about ARM architectures?
ARM-based chips can be found not only inside cell phones and tablet PC, but also set-top-boxes and game consoles.The main reasons of ARM popularity are:• relatively low cost• high performance• low power consumption.
![Page 9: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/9.jpg)
FPGA is an integrated circuit designed to be configured by the customer or designer after manufacturing.
SoC and FPGA
![Page 10: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/10.jpg)
FPGA structure
![Page 11: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/11.jpg)
VHDL Example-- (this is a VHDL comment)-- import std_logic from the IEEE librarylibrary IEEE;use IEEE.std_logic_1164.all;
-- this is the entityentity ANDGATE is
port (I1 : in std_logic;I2 : in std_logic;O : out std_logic);
end entity ANDGATE;architecture RTL of ANDGATE is begin
O <= I1 and I2;end architecture RTL;
![Page 12: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/12.jpg)
Matlab/Simulink modeling
Example of quadrature digital receiver
![Page 13: Pres](https://reader035.fdocuments.us/reader035/viewer/2022062707/55863532d8b42a32618b52cc/html5/thumbnails/13.jpg)
Evaluation boards