Lizy Kurian John - University of Texas at Austinusers.ece.utexas.edu/~ljohn/cv/cv.pdfLizy Kurian...

Post on 19-Jul-2020

12 views 0 download

Transcript of Lizy Kurian John - University of Texas at Austinusers.ece.utexas.edu/~ljohn/cv/cv.pdfLizy Kurian...

1

LizyKurianJohnCullenTrustforHigherEducationEndowedProfessorDepartmentofElectricalandComputerEngineering

TheUniversityofTexasatAustinAustin,TX78712

Email:ljohn@ece.utexasedu,Phone:5125899255 EDUCATION: (Institution,major,degree,dates)

ThePennsylvaniaStateUniversity

ComputerEngineering Ph.D. August1993

TheUniversityofTexasatElPaso

ComputerEngineering M.S. December1989

TheUniversityofKerala,India ElectronicsandCommunicationEngineering

B.S. August1984

PROFESSIONALREGISTRATION: TexasProfessionalEngineer(PE)License,Since2001

CURRENTANDPREVIOUSACADEMICPOSITIONS:(Institution,rank(s),beginningandendingdatesforeachrank)

TheUniversityofTexasatAustinTheUniversityofTexasatAustin

CullenTrustforHigherEducationEndowedProfessorshipNo.3B.N.GaffordProfessorinElectricalEngineering

Fall2018-presentFall2009-Summer2018

TheUniversityofTexasatAustin ProfessorandCentennialTeachingFellow

Fall2007-Summer2009

TheUniversityofTexasatAustin AssociateProfessorandCentennialTeachingFellow

Fall2001-Summer2007

TheUniversityofTexasatAustin AssistantProfessor Fall1996-Summer2001TheUniversityofSouthFlorida,Tampa

AssistantProfessor Fall1993-Summer1996

OTHERPROFESSIONALEXPERIENCE:(Nameofcompany,position,beginningandendingdates)

PennState,ElectricalEngineeringDept ResearchAssistant 8/90-8/93PennState,ElectricalEngineeringDept TeachingAssistant 1/90-5/90

2

UniversityofTexasatElPaso,ElectricalEngineeringDept

TeachingAssistant 8/88-12/89

IndianSpaceResearchOrganization,Trivandrum,India

Scientist/Engineer 8/84-8/88

CONSULTING:(Namesofcompanies,beginningandendingdates)

TexasDigitalandMultimediaSystems May2008-dateEcoVivInc. June2008-dateSmoothStone June2008-June2011

HONORSANDAWARDS:

• SupervisorofStudentShuangSongwhowonTopAchievementAward,ECE,UTAustin,May2020

• CullenTrustforHigherEducationEndowedProfessorNo.3,2018-now• SupervisorofStudentReenaPandawhowontheJacomePrizeforOutstandingDissertationin

UTECE,May2018 • GraduateFellowshipforPh.DStudentS.Song2018-2019,UTAustin• HPCAHallofFame,2017• BestPaperAward,DAC2016(53rdDAC)(2awardsoutofnearly700submissions),June2016• BestPaperNominee,IEEEInternationalSymposiumonPerformanceAnalysisofSystemsand

Software(ISPASS)2017• GraduateFellowshipforPh.DStudentR.Panda2017-2018,UTAustin• BestPaperRunnerUp,IEEEInternationalConferenceonParallelProcessing(ICPP),2015• BestPaperAward,IEEEInternationalConferenceonEmbeddedComputerSystems:

Architectures,ModelingandSimulation(SAMOS),2015• SPECDissertationAwardHonorableMention,wonbystudentKarthikGanesan(2012)• ISCAHallofFame,2012• BestPaperNominee,PaperwithstudentKarthikGanesan,SupercomputingConference(SC)

2011(1of4nominees),Nov2011• BestPaperNominee,PaperwithstudentsJeffStuecheliandDimitrisKaseridis,IEEE/ACM

MICROconference,2011(1of4nominees),December2011• Bestpaperawardnominee,(1of4nominees),IEEEHighPerformanceComputerArchitecture

(HPCA)2010(VBBIPaper)• IEEEMICROTOPPICKS2010‘‘CoordinatingDRAMandLast-Level-CachePolicieswiththeVirtual

WriteQueue’’• OutstandingEngineeringAlumnusofthePennsylvaniaStateUniversity,2011• IEEEFellow,Classof2009• B.N.GaffordProfessorinElectricalEngineering,September2009-2018• GraduateFellowshipforPh.DStudent2010-2011,UTAustin• BestPaperAward,IEEEInternationalConferenceonParallelProcessing(ICPP)2009• SPECBenchmarkworkshop2006,TheK.DixitawardforbestpaperwonbystudentAjayJoshi• TEXASEXESTeachingAward,Feb2004• EngineeringFoundationFacultyAward,CollegeofEngineering,UTAustin,Fall2001

3

• UTAustinEngineeringFoundationCentennialTeachingFellowshipinElectricalEngineeringNo.2,Sept2000-present

• Halliburton,BrownandRootEngineeringFoundationYoungFacultyAward,CollegeofEngineering,UTAustin,Fall1999

• IBMAustinCenterforAdvancedStudies(CAS)orUniversityPartnershipAward-2001-2008• BestPaperAward,ComputerTrack,IEEEInternationalPerformanceConferenceonComputing

andCommunication,Feb1999• ElevatedtoSeniorMembershipofIEEE(ElectricalandElectronicsEngineers),1997• NationalScienceFoundationCAREERAward,1996-2001• $1000awardforbeingAdvisorofthestudentwhowontheUniversityLevelGeorgeH.Mitchell

UndergraduateStudentAchievementAward,April2002(StudentPattabiSeshadriwonthe$2000award)

• OakRidgeJuniorFacultyEnhancementAward,1996-1997• OutstandingYoungInvestigator,CollegeofEngineering,UniversityofSouthFlorida,1995-1996• OutstandingUndergraduateTeachingAward,UniversityofSouthFlorida,Tampa,1994-1995.• BestPaperAward,ASEEGulfSouthwestConference,March1998• GraduateSchoolFellowship,awardedbytheGraduateSchool,ThePennsylvaniaState

University,1991-1992• GeorgeKrutilekFellowshipawardedbytheGraduateSchool,TheUniversityofTexasatElPaso,

1988-1989• GraduateSchoolMarshalfortheDecember1989commencementatTheUniversityofTexasat

ElPaso• SchellengerResearchScholarshipawardedbytheElectricalEngineeringDepartment,Univ.of

TexasElPaso,Summer1989• SelectedbyNCERT(NationalCouncilofEducationalResearchandTraining),NewDelhi,Govt.of

India,onthebasisofaNationalExamination,fortheNationalTalentSearchScholarshipfor1977-1984

• 3rdRankintheKeralaUniversityB.Sc.EngineeringDegreeExam,1984• Istrank(Istoutof70,462students)intheKeralaUniversityPre-DegreeExam,India,1979• 2ndrankinthestateofKerala(2ndoutof275,554students)intheKeralaStateHigherSecondary

SchoolExam,India,1977

MEMBERSHIPSINPROFESSIONALANDHONORARYSOCIETIES:

• IEEEFellow,Classof2009• SeniorMember,IEEE,1997-2008• Member,IEEEComputerSociety,1989-present• Member,ACM(AssociationofComputingMachinery),ACM-SIGARCH,since1990• MemberACMSIGMICROsince2001• MemberEtaKappaNu(Classof1989),TauBetaPi(Classof1989),PhiKappaPhi(Classof1992)

PROFESSIONALSOCIETYANDMAJORGOVERNMENTALCOMMITTEES:

• Editor-In-Chief,IEEEMICRO,2019-2021• Executive Committeemember, IEEE Technical Committee on Computer Architecture

(TCCA),2019

4

• EditorialBoard,AssociativeEditor,IEEEMICRO,2005-2018• AssociateEditor,IEEEComputerArchitectureLetters,2016–2018• AssociateEditor,IEEETransactionsonComputers,2009–2014• AssociateEditor,IEEETransactionsonSustainableComputing,2016-2019• Associate Editor, ACM Transactions on Architecture and Code Optimization (TACO),

2016-2018• AssociateEditor,IEEETransactionsonVLSI,2003December-August2007• EditorialBoard,InternationalJournalonEmbeddedSystems,2005-2014• Member,NationalScienceFoundationWorkshoponComputerPerformanceEvaluation

Techniques,December2001,AustinTX• Member,NationalScienceFoundationProposalReviewPanel,2017,2000,1998,1997• Member,DOEOfficeofScienceProposalReviewPanel,May2010,2014

EXTERNALACADEMICREVIEWBOARDS:

• Member, Industrial and Professional Advisory Council (IPAC), Penn State College of

Engineering,2008-2016

• Member,ExternalAdvisoryBoard,ECEDepartment,UTElPaso,2008-2012

• Member,ExternalAdvisoryBoard,UniversityofNorthTexas(UNT),2008-2012

• ExternalReviewerforPh.DProgram,RochesterInstituteofTechnology2013

UNIVERSITYCOMMITTEEASSIGNMENTS: University- InformationTechnologyCommitteeChair

Member,GraduateAssembly

InformationTechnologyCommitteeViceChair&ChairElect

InformationTechnologyCommittee

InformationTechnologyCommittee

UniversityFinancialAidCommitteeco-chair

UniversityFinancialAidCommitteeMember

CircuitDesignMaster’sProgramMinorityLiaison

2019-2020

2019-2020

2018-2019

2017-2018

2016-2017

2012-2013

2011-2012

2007-

CircuitDesignMaster’sProgramMinorityLiaison 2006

CircuitDesignMasterProgramMinorityLiaison 2005

College- CockrellSchoolofEngineeringHonorsCommitteeMember

CockrellSchoolofEngineering,HonorsCommitteeChair

CollegeofEngineeringHonorsCommittee,Member

2014-2015

2010-2014

2005-2008

CollegeofEngineeringHocottAwardsCommittee 2006,2007,2008

CollegeofEngineeringEqualOpportunityinEngineering

Committee

2005-08

CollegeofEngineeringHonorsCommittee 2004-05

5

CollegeofEngineeringEqualOpportunityinEngineering

Committee

CollegeofEngineeringHonorsCommittee

2004-05

2003-04

CollegeofEngineeringEqualOpportunityinEngineering

Committee

2003-04

CollegeofEngineeringHonorsStudentsCommittee 2002-03

Departmental- ECESeniorFacultyRecruitingCommitteeco-ChairECEJuniorFacultyRecruitingCommittee(Chair:Jeff/Dimakis)ECEFacultyEvaluationCommittee(Chair:Julien)ECEFacultyEvaluationCommitteeSeniorFacultySearchCommittee(Chair:Shakkottai)ACSESTrackPh.DCoordinatorECEFacultyEvaluationCommitteeFacultySearchCommittee(ChairEdYu)FacultySearchCommittee(ChairEdYu)ECEFacultyEvaluationCommitteeECEFacultyEvaluationCommitteeECEFacultyEvaluationCommitteeFacultySearchCommittee(ChairEdYu)ECEFacultyEvaluationCommitteeECEFacultyExpectationsCommitteeComputerEngineeringPh.DCoordinatorComputerArchitectureandEmbeddedProcessingTrackPh.DCoordinatorFacultySearchCommittee(ChairdeVeciana)FacultySearchCommittee(ChairAlBovik)ComputerArchitectureandEmbeddedProcessingTrackPh.DCoordinatorComputerEngineeringPh.DCoordinatorComputerEngineeringPh.DCoordinatorECEFacultyEvaluationCommitteeECEFacultyExpectationsCommitteeComputerEngineeringPh.DCoordinatorComputerEngineeringPh.DCoordinatorComputerEngineeringPh.DCoordinator

2019-20202018-20192018-20192017-20182017-20182013-20182016-20172016-20172015-20162014-20152013-20142012-20132012-20132012-20132012-20132011-20122011-20122011-20122010-20112011-20122011-20122010-201120112011-20122009-20102008-20092007-2008

CurriculumReformSubcommittee 2008 ECEABETCommittee 2003-2007 ECEAppealsCommittee 1999-2003 ECEAwardsCommittee 2001-04 ECEHiringSubcommittee,ComputerArchitecturePosition 2001-06 DepartmentofECE,AppealsCommittee April2000-Mar

2001,April2001-March2002

DepartmentofECE,ComputerEngineeringFacultyCommittee

September1996-present

6

DepartmentofECESubcommitteeonComputerEngineeringGraduateAdmissions

1997-2006

DepartmentofECE,GraduateStudiesCommittee, September1996-present

DepartmentofECE,UndergraduateSoftwareCurriculumCommittee

Sept1996-2001

DepartmentofECE,UndergraduateDigitalSystemsCurriculumCommittee

Sept1996-2001

PROFESSIONALACTIVITIES:

• EditorInChiefSelectionCommittee,ACMTransactionsonArchitectureandCodeOptimization(TACO)2020

• EditorInChief,IEEEMICRO,2019-now• Member,HIPEAC(HighPerformanceandEmbeddedArchitectureandCompilation),

EuropeanUnion,2016-present• SPECDissertationAwardCommitteeChair,2016• IEEEFellowsSelectionCommittee(ComputerSociety),2018• IEEEFellowsSelectionCommittee(ComputerSociety),2017• IEEEFellowsSelectionCommittee(ComputerSociety),2015• IEEEFellowsSelectionCommittee(ComputerSociety),2013• DOEPanelist,2014• NSFPanelist,2013,2017• SteeringCommitteeMember,SPECRESEARCH,2013-2014• SteeringCommitteeMember,SPECRESEARCH,2012-2013• SteeringCommitteeMember,SPECRESEARCH,2011-2012• SteeringCommitteeMember,SPECRESEARCH,June2010-2011• Search Committee Member, ACM Transactions on Architecture and Code Optimization

(TACO)EditorinChiefSearch,2008-2009•

OTHERCOMMITTEES/POSITIONS:

• GuestEditor,IEEEMICROSpecialIssueonComputingwithMemristors.Co-guesteditedwithDr.Swartzlander.Sep/October2018.

• Member,IEEESeniorMemberSelectionPanel,October2007• ACMSIGMICROViceChair,2006-2008• ACMSIGMICROMemberatlarge,2005-2008• SteeringCommittee,SPECworkshops,2005-date• SteeringCommittee,IISWC,2005-present

7

• SteeringCommittee,ISPASS,2000-present• ACMSIGMICROPublicRelationsDirector2002-03,2001-02• Travel Awards Chair, IEEE International Symposium on Parallel Architectures and

Compilationtechniques(PACT2003)• Tutorials/Workshop Chair, IEEE International Symposium on Performance Analysis of

SystemsandSoftware,ISPASS,March2003• 2000IEEEInternationalConferenceonComputerDesign,SpecialSessionsChair• FinanceChair,IEEEWorkshoponWorkloadCharacterization,1998-2004• Finance Chair, IEEE International Performance Conference on Computing and

Communication,(IPCCC2000)• PanelChair,IEEEInternationalPerformanceConferenceonComputingandCommunication,

Feb1999• RegistrationChair - IEEE InternationalSymposiumonMicroarchitecture,MICRO-31,Dallas,

TX,Dec1998OTHERWORKSHOPSORGANIZED:

1. 1st Workshop on Integrating Design and Design Automation into Undergraduate

ComputerScienceandEngineeringCurriculum.For:UniversityFacultyfromaroundthecountry.Partiallyfundedby:TheNationalScienceFoundation

August5-8,1996Tampa,Florida,33620.

2. 2nd Workshop on Integrating Design and Design Automation into UndergraduateComputerScienceandEngineeringCurriculum. Partiallyfundedby:NationalScienceFoundationAugust4-7,1997Tampa,Florida,33620.

WORKSHOPSESSIONS/SEMINARS/TUTORIALSORGANIZED:

1. Workshop Session on High Performance Processors organized at: Workshop title: IEEEComputerSociety1996AnnualWorkshoponVLSI

Held:Nov.3-6,1996 Location:Clearwater,Florida

2.Half-dayTutorialPresentation:At the Workshop of Microelectronic Systems Education, July 1997, Arlington, Virginia.TutorialTopic:RapidPrototypingusingFPGAs,July23,1997

3.Half-daySeminarpresented:

IntheAUSTININNOVATIONSERIES,Aug27,1997atIBM,AustinTopic: Improving the Memory Access Performance of Programs

8

STEERINGCOMMITTEECHAIR

• IISWC(IEEEInternationalSymposiumonWorkloadCharacterization),2005-2007• ISPASS(IEEEInternationalSymposiumonPerformanceofSoftwareandSystems),2008-2013

STEERINGCOMMITTEEMEMBER

• IISWC(IEEEInternationalSymposiumonWorkloadCharacterization),2007-present• ISPASS (IEEE International Symposium on Performance of Software and Systems), 2001-

present• WWC(Sinceinception1998tillitbecameIISWC2006)

GENERALCHAIR

• ACMInternationalConferenceonPerformanceEngineering(ICPE)2015• IEEEInternationalSymposiumonWorkloadCharacterization(IISWC)2005• IEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware,ISPASS05• IEEEIntlWorkshoponWorkloadCharacterization(WWC),1998-2005

PROGRAMCHAIR• InternationalSymposiumonComputerArchitecture(ISCA)2021• InternationalConferenceonParallelProcessing(ICPP)2020ProgramCo-Chair• InternationalConferenceonParallelProcessing(ICPP)2013PerformanceTrackChair• InternationalWorkshoponPerformanceAnalysisofWorkloadOptimizedSystems(FastPath)

2014• InternationalWorkshoponPerformanceAnalysisofWorkloadOptimizedSystems,FastPath

2013• ACMInternationalConferenceonPerformanceEngineering(ICPE)2012Programco-Chair• SPECWorkshop2006,Programco-chair• ISPASS 2004 IEEE International Symposium on Performance Analysis of Systems and

Software(ISPASS)• ICCD1999ArchitectureTrackProgramco-chairIEEEInternationalConferenceonComputer

Design(ICCD)• ISPASS 2000 Workload Characterization Track IEEE International Symposium on

PerformanceofSoftwareandSystems(ISPASS)• WWC(WorkshoponWorkloadCharacterization),1998-2004• ODES 2003-2005 (Optimizations for DSP and Embedded Systems Workshop), (held in

conjunctionwiththeCGOsymposium)(co-chair) PROGRAMCOMMITTEEMEMBER

1. ACMInternationalSymposiumonFieldProgrammableGateArrays(FPGA)20212. ACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA)20203. IEEEHighPerformanceComputerArchitectureSymposium(HPCA)20204. ACMInternationalSymposiumononFieldProgrammableGateArrays(FPGA)20205. IEEEInternationalSymposiumonWorkloadCharacterization(IISWC)20196. IEEEInternationalConferenceonMicroarchitecture(MICRO2019)7. IEEEHighPerformanceComputerArchitectureSymposium(HPCA)2019

9

8. IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)2019

9. IEEEHighPerformanceComputerArchitectureSymposium(HPCA)201810. IEEEInternationalSymposiumonWorkloadCharacterization(IISWC)201811. IEEEInternationalParallelandDistributedSymposium(IPDPS)201812. ACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA)201713. IEEEHighPerformanceComputerArchitectureSymposium(HPCA)201714. IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)

201715. IEEEInternationalParallelandDistributedSymposium(IPDPS)201716. ACMInternationalConferenceonPerformanceEngineeringICPE201617. IEEE Workshop on Emerging Parallel and Distributed Runtime Systems and Middleware

(IPDRM),201618. ACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA)201519. ACMSupercomputing,SC’15,PerformanceTrack,201520. InternationalWorkshoponHigh-PerformanceBigDataComputing(HPBDC)201521. IEEE/ACMInternationalSymposiumonCluster,CloudandGridComputing,(CCGrid)201422. ACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA)201223. IEEEHighPerformanceComputerArchitecture(HPCA)Symposium201224. IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)

201225. NetworkandParallelComputing(NPC)201126. Supercomputing2011(Performancetrack)27. ICS(InternationalConferenceonSupercomputing),201128. IEEEConferenceonParallelArchitecturesandCompilationtechniques(PACT)201029. SPECWOSP/SIPEW201030. IEEEMICROTOPPICKS200931. IEEEInternationalConferenceonComputerDesign(ICCD)200932. SPECWorkshop200933. VirtualExecutionEnvironments(VEE)200834. IEEEParallelArchitecturesandCompilationtechniques(PACT)200935. IEEEInternationalParallelandDistributedProcessingSymposium,200936. SPECWorkshop200837. IEEEInternationalConferenceonComputerDesign(ICCD)200838. 2007SupercomputingConference,PerformanceTrack39. IEEEMICROTOPPICKS200640. IEEESymponHighPerformanceComputerArchitecture(HPCA2005)41. IEEE-SymponHighPerformanceComputerArchitecture(HPCA2002)42. ParallelArchitecturesandCompilationtechniques(PACT2003)43. IEEE-InternationalSymposiumonMicroarchitecture(MICRO-33),200044. IEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS

2001)45. IEEEInternationalPerformanceConferenceonComputingandCommunication(IPCCC2000)46. IEEEInternationalConferenceonComputerDesign,ArchitectureTrack(ICCD1999)47. IEEE International Performance Conference on Computing and Communication (IPCCC

1999)48. 1998IEEEInternationalConferenceonComputerDesign(ICCD),ArchitectureTrack49. InternationalPerformanceandDependabilitySymposium(IPDS),heldalongwithDSN2002

10

50. NorthAtlanticTestSymposium,199751. WorkshoponWorkloadCharacterization(1998-2004)52. MoBSworkshop2005(heldwithISCA)53. ValuePredictionWorkshop,200454. MRE2004(ManagedRunTimeEnvironment),2003(heldwithCGO)55. INTERACT-6,WorkshoponInteractionbetweenArchitecturesandCompilers,inconjunction

with IEEE-InternationalSymposiumonHighPerformanceComputerArchitecture (HPCA-8),2002

56. WorkshoponHardwareSupportforObjectsandMicroarchitecturesforJava(inconjunctionwithIEEEInternationalConferenceonComputerDesign2001)

57. Workshop on Decoupled Access Execute Architectures (MEDEA) in conjunction withPACT2001

58. WorkshoponHardwareSupportforObjectsandMicroarchitecturesforJava(inconjunctionwithIEEEInternationalConferenceonComputerDesignICCD2000)

59. WorkshoponDecoupledAccessExecuteArchitectures(inconjunctionwithPACT2000)60. WorkshoponMediaProcessorsandDigitalSignalProcessors,Nov1999(inconjunctionwith

32ndIEEEInternationalSymposiumonMicroarchitectureMicro-32)61. WorkshoponHardwareSupportforObjectsandMicroarchitecturesforJava(inconjunction

withIEEEInternationalConferenceonComputerDesign1999) EXTERNALREVIEWCOMMITTEE(ERC)MEMBER

1. ACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA)20192. ACMInternationalSymposiumonArchitecturalSupportforProgrammingLanguagesandOperatingSystems(ASPLOS)20173. IEEEHighPerformanceComputerArchitectureSymposium,HPCA20174. ACM/IEEEInternationalSymposiumonMicroarchitecture(Micro)20165. IEEEHighPerformanceComputerArchitectureSymposium,HPCA20166. ACM/IEEEInternationalSymposiumonMicroarchitecture(Micro)20157. IEEEHighPerformanceComputerArchitectureSymposium,HPCA2015

TECHNICALREVIEWER: (Technical reviewer for several journals, conferences, and workshops, various years, including but not limited to)

11

HPCA,ISCA,ASPLOS,MICRO,IEEEMicroTopPicksACMTACOACMTOMACSIEEETransactionsonComputersIEEETransactionsonParallelandDistributedSystemsTheComputerJournalTheJournalofVLSIMicroprocessorsandMicrosystemsIEEJournalofComputersandDigitalTechniquesIEEEComputerIEEEMicroMagazineIEEEConcurrencyIEEE/ACMInternationalSymposiumonComputerArchitecture(ISCA)IEEEIntlHighPerformanceComputerArchitectureSymposium(HPCA)IEEEInternationalSymposiumonMicroarchitecture(MICRO)PACT(ParallelArchitecturesandCompilationTechniques)Conf.,2001IEEEWorkshoponHardwareSupportforObjectsandMicroarchitecturesforJava(inconjunctionwithIEEEInternationalConferenceonComputerDesign)IEEEInternationalPerformanceConferenceonComputingandCommunication1999,2000IEEEWorkshoponWorkloadCharacterizationWorkshoponMediaProcessorsandDigitalSignalProcessors,Nov1999(inconjunctionwithIEEEMicro-32)IEEEInternationalConferenceonComputerDesign(ICCD)1998,1999NorthAtlanticTestSymposium1997ReviewerforMcGrawHill2001-2002ReviewerforMcGrawHill,2000ReviewerforPrenticeHallUSA(1995),PrenticeHallUK(1999)ReviewerforAddisonWesley(1997)KluwerAcademicPublishersBookProposalReviewer,2001ReviewerforIEEEComputer’sSpecialIssueonBillionTransistorProcessors,1997Member,FocusGrouponIEEESpectrumanditsWebSite,TammadgeMarketResearchGroup,May1998

OTHERACTIVITIES:

1. RoboticsClub,WestLakeHighSchool,EanesISD,TeamMentor,2016-20172. TechnologyClubFounderandOrganizer:St.IgnatiusMartyrSchool,Austin,TX,2012-20133. ElementarySchoolPSIAMathCoach,20124. ElementarySchoolPSIASpellingCoach,20115. ElementarySchoolPSIASpellingCoach,20106. Judge: TexasHighSchoolStateScienceandEngineeringFair,April20017. Judge: FloridaHighSchoolScienceFair,1994

PUBLICATIONS:

• Approximately300Publications

12

• 24IEEETransactions,5ACMTransactions• 9ISCAPapers(MemberISCAHallofFame,Classof2012)• 9HPCAPapers(MemberHPCAHallofFame,Classof2017)• H-index:49(31since2015)• I-10index:162(88since2015)• 9300+Citations(3600+since2015)• 7MICROPapers• 3undergraduateTextbooks• 4EditedBooks• 16BookChapters

A. RefereedArchivalJournalPublications

1. ShuangSong,XuLiu,QinzheWu,AndreasGerstlauer,TaoLi,andLizyK.John,“StateLateorFinishEarly:ADistributedGraphProcessingSystemwithRedundancyReduction”,ProceedingsoftheVLDBEndowments(PVLDB).2018

2. MuhammadFaisalIqbal,MuhammadZahid,DurdanaHabib,andLizyKurianJohn,EfficientPredictionofNetworkTrafficforReal-TimeApplicationsJournalofComputerNetworksandCommunications,Volume2019,ArticleID4067135,11pages,https://doi.org/10.1155/2019/4067135

3. J.WangandLizyK.John,SelSMAP:ASelectiveStride-MaskingPrefetchingSchemeforCloudandBigDataApplications,ACMTransactionsonArchitectureandCodeOptimization(TACO),2019,http://doi.acm.org/10.1145/3274650

4. Han,Rui,LizyKurianJohn,andJianfengZhan."BenchmarkingBigDataSystems:AReview."

IEEETransactionsonServicesComputing,May/June2018,Vol.11,Issue3,pp.580-597,ISSN:1939/1374,DOI:10.1109/TSC.2017.2730882

5. XinnianZheng;LizyK.John;AndreasMGerstlauer,"LACross:Learning-basedFine-grainedAnalyticalCross-PlatformPerformanceandPowerPrediction",InternationalJournalofParallelProgramming(IJPP),preprintJan2017

6. ZhuoranZhao,AndreasGerstlauer,LizyK.John,"Source-LevelPerformance,Energy,Reliability,PowerandThermal(PERPT)Simulation,"IEEETransactionsonComputer-AidedDesignofIntegratedCircuitsandSystems(TCAD),vol.36,no.2,pp.299-312,Feb2017

7. FaisalIqbalandLizyK.John,DynamicCoreAllocationandPacketSchedulinginMultiCoreNetworkProcessors”,IEEETransactionsonComputers,2016

8. ZhibinYu,LievenEeckhout,TaoLi,LizyK.John,,“GPGPU-MiniBench:AcceleratingGPGPUMicro-ArchitectureSimulation”,IEEETransactionsonComputers,2015,Vol.64,Issue11,pp.3153-3166

9. ArunNair,StijnEyerman,JianChen,LizyJohn,LievenEeckhout,“MechanisticModelingofArchitecturalVulnerabilityFactor”,ACMTransactionsonComputerSystems,2015,Vol.32,Issue4

13

10. YoungtaekKim,SanjayPant,SrilathaManne,MichaelSchulte,LloydBircher,MadhuSaravanaSibiGovindan,andLizyK.John,“AutomatingStressmarkGenerationfortestingProcessorVoltageFluctuations”,IEEEMicro,July/August,pp.66-75,2013

11. KarthikGanesanandLizyK.John,AutomaticGenerationofMiniaturizedSyntheticProxiesforTargetApplicationstoEfficientlyDesignMulticoreProcessors,IEEETransactionsonComputers,Vol.63,No.4,pp.833-846,April2014

12. JianChen,ArunNair,andLizyK.John,PredictiveHeterogeneity-AwareApplicationSchedulingforChipMultiprocessors,IEEETransactionsonComputers,Vol.63,No.2,pp.435-447,February2014.

13. DimitrisKaseridis,MuhammadFaisalIqbal,andLizyK.John,CacheFriendlinessAwareManagementofLast-levelCachesforHighPerformanceMulti-CoreSystems,IEEETransactionsonComputers,Vol.63,No.4,pp.874-887,April2014.

14. LloydBircherandLizyK.John,CompleteSystemPowerEstimationusingProcessorPerformanceEvents,IEEETransactionsonComputers,Vol.61,No.4,pp.563-577,April2012

15. LloydBircherandLizyK.John,Core-LevelActivityPredictionforMulti-CorePowerManagement,IEEEJournalonEmergingandSelectedTopicsinCircuitsandSystems(JETCAS),September2011,pp.218-227.

16. J.Stuecheli,D.Kaseridis,L.K.John,D.DalyandH.C.Hunter,“CoordinatingdraMandLast–

LevelCachePolicieswiththeVirtualWriteQueue”,VirtualWriteQueue:“IEEEMICROTOPPicks,2011v.31,90--98,PublishedbytheIEEEComputerSociety,2011

17. ByeongKilLeeandLizyK.John,“Hardwareaccelerationformedia/transactionapplicationsinNetworkProcessors”,vol.17,No.12,pp.1691-1697,IEEETransactionsonVLSI,December2009

18. AjayJoshi,LievenEeckhout,RobertH.BellJr.andL.K.John,DistillingtheEssenceof

ProprietaryWorkloadsintoMiniatureBenchmarks.ACMTransactionsonArchitectureandCodeOptimization(TACO),Vol.5,Issue2,August2008,pp.10:1-10:33

19. YueLuo,AjayJoshi,AashishPhansalkar,LizyK.John,andJoydeepGhosh,“AnalyzingandImprovingClusteringBasedSamplingforMicroprocessorSimulation”.InternationalJournalofHighPerformanceComputingandNetworking,2008

20. AjayJoshi,YueLuoandLizyJohn,ApplyingStatisticalSamplingforFastandEfficientSimulationofCommercialWorkloads,IEEETransactionsonComputers,Vol.56,No.11,November2007

21. C.Isen,H.Angepat,L.John,J.PChoi,H.J.Song,“EmbeddedJavaBenchmarkAnalysisontheARMProcessor”,InternationalJournalonEmbeddedSystems,Vol.4,Issue1,2009,pp.40-53

22. TaoLi,LizyKurianJohn,AnandSivasubramaniam,N.Vijaykrishnan,JuanRubio,OS-AwareBranchPrediction:ImprovingMicroprocessorControlFlowPredictionforOperatingSystems,IEEETransactionsonComputers,Vol.56,No.1,January2007,pp.2-17

14

23. JoshuaJ.Yi,LievenEeckhout,DavidJ.Lilja,BradCalder,LizyK.John,JamesE.SmithTheFutureofSimulation:AFieldofDreams,IEEEComputer,November2006,pp.22-29

24. AjayJoshi,AashishPhansalkar,LievenEeckhout,andLizyK.John,“MeasuringBenchmarkSimilarityUsingInherentProgramCharacteristics”,IEEETransactionsonComputers,Vol.55,No.6,June2006,pp.769-782.

25. MadhaviValluri,LizyJohnandHeatherHanson,“Hybrid-Scheduling:ATechniquetoExploitStaticSchedulesforReducedEnergyConsumptioninHigh-PerformanceProcessors.IEEETransactionsonVLSI.Vol.14,No.9,September2006,pp.1039-1043

26. ByeongKilLee,L.K.JohnandE.B.John,“ArchitecturalEnhancementsforNetworkCongestionControlApplications”.IEEETransactionsonVLSI,VOL.14,NO.6,pp.609-615,JUNE2006

27. ShiwenHu,MadhaviValluri,andLizyK.John,“EffectiveAdaptiveComputingEnvironmentManagementviaDynamicOptimization”,ACMTransactionsonArchitectureandCodeOptimization(TACO),Vol.3,No.4,Dec2006,pp.477-501

28. TaoLiandLizyKurianJohn,“OperatingSystemPowerMinimizationthroughRun-timeProcessorResourceAdaptation”.AcceptedatJournalofMicroprocessorandMicrosystems,Volume30,Issue4,page173-224,June2006

29. JuanRubioandLizyK.John,“ReducingServerDataTrafficusingaHierarchicalComputationModel”,IEEETransactionsonParallelandDistributedSystems,Oct2005,933-943.

30. ByeongKilLeeandLizyK.John,“ImplicationsofExecutingCompressionandEncryptionApplicationsonGeneralPurposeProcessors”,IEEETransactionsonComputers,July2005,Vol.54,No.7,pp.917-922.

31. TaoLi,RaviBhargava,L.K.John,“AdaptingBranch-TargetBuffertoImprovetheTargetPredictabilityofJavaCode”,ACMTransactionsonArchitectureandCodeOptimization(TACO),Vol.2,No.2,June2005,pp.109-130.

32. L.Eeckhout,Y.Luo,K.Bosschere,andLizyK.John,“BLRL:AccurateandEfficientWarmupforSampledProcessorSimulation,”TheComputerJournal.Vol.48.No.4,May2005,pp.451-459.

33. YueLuoandLizyK.John,“EfficientlyEvaluatingSpeedupUsingSampledProcessorSimulation,ComputerArchitectureLetters,vol3,Sept2004,pp.22-25.

34. D.Burger,S.Keckler,K.S.McKinley,M.Dahlin,L.K.John,C.Lin,C.R.Moore,J.Burrill,R.G.McDonald,W.YoderandtheTRIPSteam,“ScalingtotheEndofSiliconwithEDGEarchitectures”,IEEEComputer,July2004,pp.44-55.

35. YueLuoandLizyJohn,“LocalityBasedOn-LineTraceCompression”,IEEETransactionsonComputers,Volume53,Number6,June2004,pp.723-731.

36. ShiwenHu,RaviBhargava,andLizyK.John,“TheRoleofReturnValuesinExploitingSpeculativeMethod-LevelParallelism”,TheJournalofInstruction-LevelParallelism(JILP),Vol.5.November2003.

37. DeepuTalla,LizyJohn,andDougBurger,“BottlenecksinmultimediaprocessingwithSIMDstyleextensionsandarchitecturalenhancements”,IEEETransactionsonComputers,Volume52,Number8,ISSN0018-9,Aug2003,pp.1015-1031.

15

38. YueLuo,PattabiSeshadri,JuanRubio,LizyJohnandAlexMericas,“BenchmarkingInternetServersonSuperscalarMachines”,IEEEComputer,Feb2003,pp.34-40.

39. TaoLiandL.John,“ADirpNB:Acost-effectivewaytoReduceDirectoryMemoryOverheadforFullMapDirectoryBasedCacheCoherenceProtocols”,IEEETransactionsonComputers,Sept2001,Vol.50,No.9,pp.921-934.

40. R.Radhakrishnan,N.Vijayakrishnan,L.K.John,A.Sivasubramaniam,J.Rubio,andJ.Sabarinathan,“JavaRuntimeSystems:CharacterizationandArchitecturalImplications”,IEEETransactiononComputers,Feb2001,Vol.50,No.2,pp.131-146.

41. LizyKurianJohn,“DataPlacementSchemestoReduceConflictsinInterleavedMemories”,TheComputerJournal,Vol.43,No.2,2000.

42. LizyKurianJohn,“MemoryChipswithAdjustableConfigurations”,TheVLSIDesignJournal,GordonBreachPublishers,Vol.10(2),1999,pp.203-215.

43. L.K.John,TaoLiandA.Subramanian,“AnnexCache:ACacheAssisttoimplementSelectiveCaching”,MicroprocessorsandMicrosystemsJournal,December1999,Volume23Nos8-9,ElsevierPublications,pp.537-551.

44. LizyKurianJohnandEugeneB.John,“ADynamicallyReconfigurableInterconnectforArrayProcessors”,IEEETransactionsonVLSI,March1998,Vol.6,No.1,pp.150-157.

45. LizyKurianJohn,Yu-chengLiu,“PerformanceModelforaPrioritizedMultiple-BusMultiprocessorSystem",IEEETransactionsonComputers,Vol.45,No.5,May1996,pp.580-588.

46. PaulT.Hulina,LeeCoraor,LizyKurianandEugeneJohn,“DesignandVLSIImplementationofanAddressGenerationCoprocessor”,IEEProceedingsonComputersandDigitalTechniques,Vol.142,No.2,March1995,pp.145-151.

47. LizyKurian,PaulT.HulinaandLeeD.Coraor,“MemoryLatencyEffectsinDecoupledArchitectures”,IEEETransactionsonComputers,Vol.43,No.10,Oct1994,pp.1129-1139.

48. PaulT.Hulina,LizyKurian,EugeneJohnandLeeD.Coraor,“DesignandVLSIImplementationofanAccessProcessorforaDecoupledArchitecture”",JournalofMicroprocessorsandMicrosystems,vol16,No5,May1992,pp.237-247.

OTHERJOURNAL/MAGAZINEARTICLES:

47. A.Phansalkar,A.JoshiandLizyK.John,SubsettingtheSPECCPU2006,ComputerArchitectureNews,Vol.35,No.1-March2007,pp.69-76

48. L.K.John,“MoreonFindingaSingleNumbertoIndicateOverallPerformanceofaBenchmarkSuite”,ACMComputerArchitectureNews,Vol.32,No.1-March2004,pp.3-8.

49. DeepuTallaandLizyJohn,“MediaBreeze:Adecoupledarchitectureforacceleratingmultimediaapplications”,ACMComputerArchitectureNews,ACMPress,ISSN0163-5964,vol.29,no.5,Dec.2001,pp.62-67.

50. LizyJohnandRameshRadhakrishnan,“c_ICE:ACompiler-BasedInstructionCacheExclusionScheme”,NewsletteroftheTechnicalCommitteeonComputerArchitecture(TCCA),June1997,pp60-61.

B. RefereedConferenceProceedings

16

51. ZhigangWei,AmanArora,PrageneshPatel,andLizyKurianJohn,DesignSpaceExploration

forSoftmaxImplementations,Proceedingsofthe31stIEEEInternationalConferenceonApplication-specificSystems,ArchitecturesandProcessors(ASAP).July2020

52. AmanArora,ZhigangWei,andLizyKurianJohn.Hamamu:SpecializingFPGAsforMLApplicationsbyAddingHardMatrixMultiplierBlocks,Proceedingsofthe31stIEEEInternationalConferenceonApplication-specificSystems,ArchitecturesandProcessors(ASAP).July2020

53. SnehilVerma,QinzheWu,BagusHanindhito,GunjanJha,EugeneJohn,RameshRadhakrishnan,andLizyKurianJohn,“DemystifyingtheMLPerfTrainingBenchmarkSuite”,IEEEInternationalSymposiumonWorkloadCharacterization,April2020

54. JiajunWag,PrakashRamrakhyani,WendyElsasser,LizyK.John,“ReducingDataMovementandEnergyinMultilevelCacheHierarchieswithoutlosingPerformance:Canyouhaveitall?”,IEEEInternationalConferenceonParallelArchitecturesandCompilationTechniques,September2019.

55. ShuangSong,XuLiu,QinzheWu,AndreasGerstlauer,TaoLi,andLizyK.John,“StateLateorFinishEarly:ADistributedGraphProcessingSystemwithRedundancyReduction”,ProceedingsoftheVLDBConferenceAugust2019.

56. HaoXu,QingsenWang,ShuangSong,LizyKurianJohn,andXuLiu,CanweTrustProfilingResults?UnderstandingandFixingtheInaccuracyinModernProfilers,TheACMInternationalConferenceonSupercomputing(ICS),June2019

57. JosephWhitehouse,QinzheWu,ShuangSong,EugeneJohn,AndreasGerstlauer,andLizyJohn,“AStudyofCoreUtilizationandResidencyinHeterogeneousSmartPhoneArchitectures”,ProceedingsoftheACM/SPECInternationalConferenceonPerformanceEngineering(ICPE),April2019,Mumbai,India.

58. JeeHoRyoo,ShuangSong,andLizyK.John,“PuzzleMemory:AMultifractionalPartitionedHeterogeneousMemoryScheme”,InternationalConferenceonComputerDesign(ICCD).October2018

59. MichaelLeBeane,KhaledHamidouche,BradBenton,MauricioBreternitz,StevenK.Reinhardt,andLizyK.John,ComP-Net:CommandProcessorNetworkingforEfficientIntra-kernelCommunicationsonGPUs,IEEEParallelArchitecturesandCompilationTechniques,(PACT),November2018

60. JeeHoRyoo,LizyK.John,andArkapravaBasu,“ACaseforGranularityAwarePageMigration”,ProceedingsoftheInternationalConferenceonSupercomputing(ICS),Beijing,China,June2018.

61. ReenaPandaandLizyK.John,“HALO:AHierarchicalMemoryAccessLocalityModelingTechniqueforMemorySystemExploration”,ProceedingsoftheInternationalConferenceonSupercomputing(ICS),Beijing,China,June2018.

62. ReenaPanda,ShuangSong,JosephDeanandLizyK.John,WaitofaDecade:DidSPECCPU2017BroadenthePerformanceHorizon”,ProceedingsoftheIEEEHighPerformanceComputerArchitecture(HPCA)Symposium,Vienna,February2018

63. ReenaPanda,A.GerstlauerandL.K.John,“CAMP:AccurateModelingofCoreandMemoryLocalityforProxyGenerationofBigDataApplications”,ProceedingsofDesignAutomationandTestinEurope(DATE)2018,Dresden,Germany,March2018

64. WooseokLee,ReenaPanda,DamSunwoo,JoseJoao,AndreasGerstlauer,andLizyK.John,“BUQS:Battery-andUser-awareQoSScalingforInteractiveMobileDevices”,ProceedingsoftheAsiaandSouthPacificDesignAutomationConference(ASP-DAC)January2018

17

65. YashwantMarathe,JeeHoRyoo,NagendraGulur,LizyK.John,CSALT:ContextSwitchAwareLargeTLB,IEEE/ACMInternationalConferenceonMicroarchitecture,ACM/IEEEInternationalSymposiumonMicroarchitecture(Micro),Boston,October2017

66. JiajunWangandLizyK.John,“SelSMaP:ASelectiveStrideMaskingPrefetchingScheme”,ProceedingsoftheIEEEInternationalConferenceonComputerDesign(ICCD),Boston,October2017

67. MichaelLeBeane,LizyJohn,etal.“GTN:GPUTriggeredNetworkingforIntra-KernelCommunications,IEEE/ACMSupercomputing(SC),November2017

68. ReenaPandaandLizyK.John,“ProxyBenchmarksforEmergingBig-dataWorkloads”,IEEEParallelArchitecturesandCompilationTechniques(PACT),Portland,September2017

69. JeeHoRyoo,NagendraGulurDwarakanath, Shuang Song and Lizy K. John, “AVery LargeDie-Stacked TLB: Rethinking TLB Designs in Virtualized Environments,”Proceedings of theInternationalSymposiumonComputerArchitecture(ISCA2017),Toronto,June2017

70. ReenaPanda,XinnianZheng,JiajunWang,AndreasGerstlauer,andLizyK.John,“StatisticalPattern BasedModeling of GPUMemory Access Streams”, IEEE/ACM Design AutomationConference(DAC).June2017.

71. Reena Panda, Xinnian Zheng, and Lizy K. John, “ Accurate Address Streams for LLC andBeyond(SLAB):AMethodologytoEnableSystemExploration”,InternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS),April2017.

72. Wang,ReenaPanda,XinnianZhengandLizyK.John,“PrefetchingforCloudWorkloads:AnAnalysis based onAddress Patterns, International Symposiumon PerformanceAnalysis ofSystemsandSoftware(ISPASS),April2017.

73. WooseokLee,DamSunwoo,AndreasGerstlauer,andLizyK. John,“Cloud-guidedQoSandEnergy Management for Mobile Interactive Web Applications“, IEEE/ACM InternationalConferenceonMobileSoftwareEngineeringandSystems(MOBILESoft).May2017

74. ShuangSong,RajDesikan,MohammadBarakat,SridharSundaram,AndreasGerstlauer,andLizy K. John, “Fine-grain ProgramSnippetsGenerator forMobile CoreDesign”, IEEEGreatLakesSymposiumonVLSI(GLSVLSI),May2017

75. Jee Ho Ryoo, Mitesh R. Meswani, Reena Panda and Lizy K. John, “SILC-FM: SubblockedInterLeaved Cache-like Flat Memory,” Proceedings of the High Performance ComputerArchitectureSymposium(HPCA),February2017

76. XinnianZheng,ShuangSong,HarisVikaloLizyK.John,AndreasGerstlauer,"Sampling-BasedBinary-LevelCross-PlatformPerformanceEstimation,"ProceedingsoftheDesign,AutomationandTestinEurope(DATE)Conference,Lausanne,Switzerland,March2017.(bestpapercandidate)

77. SeogooLee,LizyK.John,AndreasGerstlauer,"High-LevelSynthesisofApproximateHardwareunderJointPrecisionandVoltageScaling,"ProceedingsoftheDesign,AutomationandTestinEurope(DATE)Conference,Lausanne,Switzerland,March2017

78. XinnianZheng, LizyK. John,andAndreasGerstlauer,AccuratePhaseLevelCross-PlatformPowerandPerformanceEstimation,ProceedingsofACMDAC2016(BestPaperaward)

79. MichaelLeBeane,BrandonPotter,AbhisekPan,AlexandruDutu,VinayAgarwala,WonchanLee, Deepak Majeti, Bibek Ghimire, Eric Van Tassell, Samuel Wasmundt, Brad Benton,Mauricio Breternitz, Michael L. Chu, Mithuna Thottethodi, Lizy K. John, and Steven K.

18

Reinhardt., Extended Task Queuing: Active Messages for Heterogeneous Systems, ACMSupercomputingConference(SC),November2016

80. ShuangSong,MengLi,XinnianZheng,JeeHoRyoo,ReenaPanda,MichaelLeBeane,AndreasGerstlauer,andLizyK.John,“Proxy-GuidedLoadBalancingofGraphProcessingWorkloadsonHeterogeneousClusters,TheIEEEInternationalConferenceonParallelProcessing(ICPP),August2016

81. Reena Panda, Xinnian Zheng, Jee Ho Ryoo, Michael LeBeane, Shuang Song, AndreasGerstlauer, and Lizy K. John, “Genesys: AutomaticallyGenerating Representative Training-sets”, The IEEE InternationalConferenceonEmbeddedComputerSystems:Architectures,Modeling,andSimulation(SAMOS).July2016

82. MochamadAsri,ArdavanPedram, LizyK. John,AndreasGerstlauer, "SimulatorCalibrationforAccelerator-RichArchitecture Studies,"Proceedings of the International Conference onEmbedded Computer Systems: Architectures, Modeling and Simulation (SAMOS), Samos,Greece,July2016.

83. Reena Panda, Yasuko Eckert, Nuwan Jayasena, Onur Kayiran, Michael Boyer, Lizy KurianJohn, “Prefetching Techniques for Near-memory Throughput processors”, Proceedings oftheInternationalConferenceonSupercomputing(ICS)2016.

84. Jee Ho Ryoo, Mitesh R. Meswani, Reena Panda and Lizy K. John, “POSTER: SILC-FM:Subblocked InterLeaved Cache-like Flat Memory,” In the Proceedings of 2016 ParallelArchitecturesandCompilationTechniques(PACT),October,2016

85. SeogooLee,DongwookLee,KyungtaeHan,TaeminKim,EmilyShriver,LizyK.John,AndreasGerstlauer,"StatisticalQualityModelingofApproximateHardware,"ProceedingsoftheIEEEInternationalSymposiumonQualityElectronicDesign(ISQED),SantaClara,CA,March2016

86. DongwookLee,TaeminKim,KyungtaeHan,YatinHoskote,LizyK.John,AndreasGerstlauer,"Learning-Based Power Modeling of System-Level Black-Box IPs," Proceedings of theIEEE/ACM International Conference on Computer-Aided Design (ICCAD), Austin, TX,November2015.

87. Xinnian Zheng, Pradeep Ravikumar, Lizy K. John, Andreas Gerstlauer, "Learning-basedAnalytical Cross-Platform Performance Prediction," Proceedings of the InternationalConference on Embedded Computer Systems: Architectures, Modeling and Simulation(SAMOS),Samos,Greece,July2015.(bestpaperaward)

88. Michael LeBeane, Shuang Song, Reena Panda, Jee Ho Ryoo, and Lizy K. John, “DataPartitioning Strategies for Graph Workloads on Heterogeneous Clusters”, IEEE ACMSupercomputingConference,SC2015,AustinTexas,Nov2015

89. Michael LeBeane, Shuang Song and Lizy K. John, WattWatcher: Fine-Grained PowerEstimation For EmergingWorkloads, Proceedings of the 27th International Symposium onComputerArchitectureandHighPerformanceComputing,SBAC-PAD2015

90. ReenaPanda,ChrisErbandLizyK.John,PerformanceCharacterizationofModernDatabaseson Out-of-order CPUs, Proceedings of the 27th International Symposium on ComputerArchitectureandHighPerformanceComputing,SBAC-PAD2015

91. Jee Ho Ryoo, Karthik Ganesan, Yao-min Chen and Lizy K. John, i-MIRROR: A SoftwareManaged Die-Stacked DRAM-Based Memory Subsystem, Proceedings of the 27th

19

International Symposium on Computer Architecture and High Performance Computing,SBAC-PAD2015

92. Wooseok Lee, Sunwoo, A. Gerstlauer, and L. K. John, “PowerTrain: A Learning-basedCalibration ofMcPAT PowerModels”, ACM/IEEE International Symposium on Low PowerElectronicsandDesign(ISLPED),2015

93. JHRyoo,S.Quirem,andL.K. John,“GPGPUBenchmarkSuites:HowwellDoTheySamplethe Performance Spectrum”, IEEE International Conference on Parallel Processing (ICPP)2015(BestPaperRunnerUp)

94. ReenaPandaandLizyK.John,“CharacterizationofAnalyticsWorkloads&SimilarityAnalysisofAnalytics,SPECCPUandSPECJBBWorkloads”,IPCCC,December2014

95. Xinnian Zheng, A.Gerstlauer, and Lizy K. John, “Learning-basedAnalytical Cross-PlatformPerformancePrediction”, IEEE InternationalConferenceonEmbeddedComputer Systems:Architectures,ModelingandSimulation,2015

96. Dongwook Lee, L. K. John, and A. Gerstlauer, Dynamic Power and Performance Back-Annotation for Fast and Accurate Functional hardware Simulation, Proceedings of theDesign,Automation,andTestinEurope(DATE)Conference,Grenoble,France,March2015.

97. AhmedKhawaja,JiajunWang,DhairyaMalhotra,AndreasGerstlauer,GeorgeBirosandLizyJohn, Performance Analysis of HPC Applications with Irregular Tree Data Structures,Proceedingsof the InternationalConferenceonParallelandDistributedSystems( ICPADS)2014.

98. DarshanGandhi,AndreasGerstlauer, and LizyK. John, “Fast-Spot:Host-CompiledThermalEstimationforEarlyDesignSpaceExploration”,TheIEEEInternationalSymposiumonQualityElectronicDesign(ISQED),March2014.

99. R.PandaandLizyK.John,“CharacterizationofAnalyticsWorkloadsandSimilarityAnalysisofAnalytics, SPCE CPU and SPEC JBB Workloads, Proceedings of IEEE InternationalPerformance,ComputingandCommunicationsConference,2014.

100. M.F. Iqbal, J.Holt, J.H.Ryoo,G.deVeciana,L.K. John,“FlowMigrationonMulticoreNetwork Processors: Load Balancing while Minimizing Packet Reordering”, InternationalConferenceonParallelProcessing,ICPPOctober2013

101. Y. Kim, L. John, I. Paul, S. Manne, and M. Schulte, “Performance boosting underreliability and power constraints”, International Conference on Computer Aided Design(ICCAD),Nov2013

102. Youngtaek Kim, Sanjay Pant, Srilatha Manne, Michael Schulte, Lloyd Bircher, MadhuSaravana Sibi Govindan, and Lizy K. John. AUDIT: Stress Testing the Automatic Way,Proceedings of The 45th IEEE/ACM International Symposium on Microarchitecture(MICRO'45).December2012

103. MuhammadUmarFarooq,Khubaib,andLizyK.JohnStore-LoadBranch(SLB)Predictor:A Compiler Assisted Branch Prediction for Data Dependent Branches The 19th IEEEInternationalSymposiumonHighPerformanceComputerArchitecture(HPCA),2013

104. MuhammadFaisalIqbalandLizyK.John,EfficientTrafficAwarePowerManagementforMulticore Communications Processors, IEEE/ACM Symposium on Architectures forNetworkingandCommunicationSystems(ANCS).October2012

20

105. Indrani Paul, Sudhakar Yalamanchili, and Lizy K. John, Performance Impact of VirtualMachine Placement in a Datacenter, The 31st International Performance Computing andCommunicationsConference.(IPCCC)December2012

106. ArunNair,StijnEyerman,LizyK.John,LievenEeckhout,AFirst-OrderMechanisticModelfor Architectural Vulnerability Factor, ACM International Symposium on ComputerArchitecture(ISCA)2012,pp.273-284

107. Dimitris Kaseridis, Jeffrey Stuecheli, and Lizy K. John.Minimalist Open-page: A DRAMPage-modeSchedulingPolicyfortheMany-coreEra(BestPaperNominee),44thIEEE/ACMInternationalSymposiumonMicroarchitecture(MICRO'44).December2011.

108. KarthikGanesanandLizyK.John.MAximumMulticorePOwer(MAMPO)-AnAutomaticMultithreadedSyntheticPowerVirusGenerationFrameworkforMulticoreSystems,BestpaperfinalistintheSuperComputingConference(SC2011),Seattle,WA,Nov2011

109. MuhammadUmarFarooq1,LeiChen2,andLizyK.John,CompilerSupportforValue-basedIndirectBranchPrediction,CompilerConstructionConference(CC2012),March2012

110. YoungtaekKim,LizyKurianJohn,"Automateddi/dtstressmarkgenerationformicroprocessorpowerdeliverynetworks,”InternationalSymposiumonLowPowerElectronicsandDesign(ISLPED),pp.253-258,Aug.2011.

111. M.FaisalIqbalandLizyK.John,“PowerandPerformanceAnalysisofNetworkTrafficPredictionTechniques”,IEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS)2012

112. DimitrisKaseridis,M.FaisalIqbal,LizyK.John,“MCFQ:LeveragingMemory-levelParallelismandApplication'sCacheFriendlinessforEfficientManagementofQuasi-partitionedLast-levelCaches”,IEEE/ACMParallelArchitecturesandCompilationTechniques,2011

113. JianChen,LizyK. John,DimitrisKaseridis,"ModelingProgramResourceDemandUsingInherentProgramCharacteristics",ProceedingsofSIGMETRICS2011.

114. Jian Chen, Lizy K. John, "Autocorrelation Analysis: A New and Improved Method forMeasuringBranchPredictability",posterpaperinSIGMETRICS2011.

115. JianChen,LizyK.John,"PredictiveCoordinationofMultipleOn-chipResourcesforChipMultiprocessors",ProceedingsofICS2011

116. ArunArvindNair,LizyKurianJohn,andLievenEeckhout,“AVFStressmark:TowardsanAutomated Methodology for Bounding the Worst-Case Vulnerability to Soft Errors”,Proceedings of the Annual International Symposium onMicroarchitecture (MICRO-2010),December2010

117. J.Stuecheli,D.Kaseridis,H.C.Hunter,L.K.John,“ElasticRefresh:TechniquestoMitigateRefreshPenaltiesinHighDensityMemory”,ProceedingsoftheAnnualInternationalSymposiumonMicroarchitecture(MICRO-2010),December2010

118. K.Ganesan,JunghoJo,W.LloydBircher,D.Kaseridis,ZhibinYu,andLizyK.John,SYMPO:ASystematicApproachforEscalatingSystem-LevelPowerConsumptionusingSyntheticBenchmarks”,Proceedingsofthe19thInternationalConferenceonParallelArchitecturesandCompilationTechniques(PACT),Vienna,Austria,September11-15,2010.

21

119. J.Stuecheli,D.Kaseridis,D.Daly,H.Hunter,L.K.John,“TheVirtualWriteQueue:CoordinatingDRAMandLast-LevelCachePolicies”,ProceedingsoftheInternationalSymposiumonComputerArchitecture(ISCA)2010,pp.72-82

120. Z.Yu,H.Jin,J.Chen,andL.K.John,“TSS:ApplyingTwo-StageSamplingInMicro-architectureSimulations”,Proceedingsof17thAnnualMeetingoftheIEEE/ACMInternationalSymposiumonModeling,AnalysisandSimulationofComputerandTelecommunicationSystems(MASCOTS2009),IEEEComputerSociety,September21-23,2009,ImperialCollege,London,England,pp.463-471.

121. Z.Yu,H.Jin,J.Chen,L.K.John.“CantorSim:SimplifyingAccelerationofMicro-architectureSimulation”,Proceedings18thAnnualMeetingoftheIEEE/ACMInternationalSymposiumonModeling,AnalysisandSimulationofComputerandTelecommunicationSystems(MASCOTS2010),IEEEComputerSociety,August17-19,2010,MiamiBeach,Florida,USA,pp.370-377

122. D.Kaseridis,J.Stuecheli,J.Chen,andL.K.John,“ABandwidth-awareMemory-subsystemResourceManagementusingNon-InvasiveResourceProfilersforLargeCMPSystems”,ProceedingsofIEEEHighPerformanceComputerArchitecture(HPCA)Symposium,2010,pp.93-103

123. U.Farooq,L.ChenandL.John,“ValueBasedBTBIndexing(VBBI)forIndirectJumpPrediction”,AcceptedforIEEEHighPerformanceComputerArchitecture(HPCA)Symposium,2010,pp.7-18(BestPaperNominee)

124. D.Kaseridis,J.Stuecheli,andL.K.John,“Bank-AwareDynamicCachePartitioningforMulticoreArchitectures”,ProceedingsoftheInternationalConferenceonParallelProcessing(ICPP),September2009,Vienna(BestPaperAward)

125. C.IsenandL.K.John,“ESKIMO-EnergySavingsusingSemanticKnowledgeofInconsequentialMemoryOccupancyforDRAMsubsystem”,ProceedingsoftheAnnualInternationalSymposiumonMicroarchitecture(MICRO-2009),December2009,pp.337-346.

126. KarthikGanesan,JunghoJo,andLizyK.John,“SynthesizingMemory-LevelParallelismAwareMiniatureClonesforSPECCPU2006andImplantBenchWorkloads”,2010InternationalSymposiumonPerformanceAnalysisofSystemsandSoftware.March2010.

127. JianChenandLizyK.John,“ProgramSchedulingforHeterogeneousMulticoreProcessors”,Proceedingsofthe46thDesignAutomationConference(DAC)July2009

128. M.U.FarooqandL.K.John,“EnhancedHierarchicalInstructionSchedulingforTiledDataflowArchitectures“,InternationalConferenceonCompilerConstruction.March2009.

129. M.U.Farooq,L.K.John,andMargaridaF.Jacome.“CompilerControlledSpeculationforPowerAwareILPExtractioninDataflowArchitectures,4thInternationalConferenceonHighPerformanceandEmbeddedArchitecturesandCompilers.January2009.

130. ArunA.NairandLizyJohn,“SimulationPointsforSPEC2006”,InternationalConferenceonComputerDesign(ICCD'08).October2008

131. KarthikGanesan,LizyK.John,JamesSexton,andValentinaSalapura.APerformanceCounterBasedWorkloadCharacterizationonBlueGene/P,37thInternationalConferenceonParallelProcessing.September2008

22

132. CijiIsen,LizyK.John,JungPilChoi,andHyoJungSong,“OntheRepresentativenessofEmbeddedJavaBenchmarks”,IEEEInternationalSymposiumonWorkloadCharacterization.September2008.

133. W.LloydBircherandLizyK.John,“AnalysisofDynamicPowermanagementonMulti-CoreProcessors”,ProceedingsoftheInternationalConferenceonSupercomputing(ICS),2008,pp/327-338,(37accepts/140submissions)

134. A.Joshi,L.Eeckhout,L.K.John,andC.Isen,“AutomatedMicroprocessorStressmarkGeneration”,ProceedingsoftheIEEEInternationalHighPerformanceComputerArchitecture(HPCA)Symposium,2008,pp.229-239.

135. JianChenandLizyK.John,“Energy-AwareApplicationSchedulingonaHeterogeneousMulti-coreSystem”,2008IEEEInternationalSymposiumonWorkloadCharacterization,Sept14-16

136. CijiIsenandLizyJohn,OntheRepresentativenessofEmbeddedJavaBenchmarks.IEEEInternationalSymposiumonWorkloadCharacterization(IISWC)2008.

137. KarthikGanesan,LizyK.John,ValentinaSalapura,andJamesSexton,“APerformanceCounterBasedWorkloadCharacterizationonBlueGene/P”,ProceedingsoftheInternationalConferenceonParallelProcessing(ICPP),2008

138. ArunNairandLizy.K.John,“SimulationPointsforSPECCPU2006”,Proceedingsofthe2008InternationalConferenceonComputerDesign(ICCD),2008.

139. JustinJ.Friesenhahn,LizyKurianJohn,andMarkMcDermott,"PowerAnalysisofaPath-BasedPerceptronBranchPredictor,"AustinconferenceonIntegratedSystemsandcircuits(ACISC),May2008.

140. A.Phansalkar,A.JoshiandL.K.John,“AnalysisofRedundancyandProgramBalanceinSPECCPU2006”,ISCA2007,SanDiego,June2007,pp.412-423(46accepts/204submissions)

141. WilliamLloydBircherandLizyK.John,CompleteSystemPowerEstimation:ATrickle-DownApproachBasedonPerformanceEvents,ISPASS(IEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware)April2007

142. AjayJoshi,LievenEeckhout,andLizyJohn.ExploringtheApplicationBehaviorSpaceUsingParameterizedSyntheticBenchmarks.Extendedabstract,ParallelArchitecturesandCompilationTechniques,September2007

143. JoshuaJ.Yi,ResitSendag,LievenEeckhout,AjayJoshi,DavidJ.Lilja,andLizyK.John,EvaluatingBenchmarkSubsettingApproaches,InternationalSymposiumonWorkloadCharacterization,October2006,pp.93-104(18/57submissions)

144. AjayJoshi,LievenEeckhout,RobertH.BellJr.,andLizyK.John,PerformanceCloning:ATechniqueforDisseminatingProprietaryApplicationsasBenchmarksInternationalSymposiumonWorkloadCharacterization.October2006,pp.105-115(18accepted/57submissions)

145. JiajinTu,JianChen,andLizyK.John,HardwareEfficientPiecewiseLinearBranchPredictor.20thInternationalConferenceonVLSIDesign.January2007.

23

146. KennethHoste,AashishPhansalkar,LievenEeckhout,AndyGeorges,LizyK.JohnandKoenDeBosschere,“PerformancePredictionbasedonInherentProgramSimilarity”,ProceedingsofParallelArchitecturesandCompilationTechniques(PACT),Sept.2006.

147. LloydBircherandLizyJohn,PowerPhasesinaCommercialServerWorkload,PosterPaperforInternationalSymposiumforLowerPowerElectronicsandDesign(ISLPED),Germany,Oct.2006.

148. ShiwenHuandLizyK.John,“AvoidingStoreMissestoFullyModifiedCacheBlocks”,IEEEInternationalPerformanceComputingandCommunicationsConference(IPCCC),April2006,pp.289-296.(Acceptancerate:60accepted/142submissions=42%)

149. TaoLiandLizyKurianJohn,“OS-awareTuning:ImprovingInstructionCacheEnergyEfficiencyonSystemWorkloads”,IEEEInternationalPerformanceComputingandCommunicationsConference,April2006,pp.321-330.(Acceptancerate:60accepted/142submissions=42%)

150. RobertH.Bell,RajivR.Bhatia,LizyJohn,JeffStuecheli,RavelThai,JohnGriswell,PaulTu,LouisCapps,AntonBlanchard,“AutomaticTestcaseSynthesisandPerformanceModelValidationforHigh-PerformancePowerPCProcessors”,ProceedingsoftheInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS),March2006,pp.154-165.(Acceptancerate:24accepted/81submissions=30%)

151. AjayJoshi,LizyJohn,JoshuaJ.Yi,RobertH.BellJr.,LievenEeckhoutandDavidLilja,“EvaluatingtheEfficacyofStatisticalSimulationforDesignSpaceExploration”,ProceedingsoftheInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS),March2006,pp.70-79.(Acceptancerate:24accepted/81submissions=30%)

152. ShiwenHuandLizyK.John,“ImpactofVirtualExecutionEnvironmentsonProcessorEnergyConsumptionandHardwareAdaptation”,AcceptedforACMInternationalConferenceonVirtualExecutionEnvironments(VEE2006),2006,pp.100-110.(Acceptancerate:17accepted/44submissions=39%)

153. RobertH.BellandLizyK.John,“EfficientPowerAnalysisusingSyntheticTestcases”,IEEEInternationalSymposiumforWorkloadCharacterization(IISWC),Oct2005,pp.110-118.(Acceptancerate:17accepted/52submissions=33%)

154. YueLuoandLizyJohn,“SimulatingCommercialJavaThroughputWorkloads:ACaseStudy”,InternationalConferenceonComputerDesign(ICCD05),Oct2005,pp.393-398.(Acceptancerate:101accepted/313submissions=32%)

155. YueLuo,AjayJoshi,AashishPhansalkar,LizyJohn,andJoydeepGhosh,“AnalyzingandImprovingClusteringBasedSamplingforMicroprocessorSimulation”,17thInternationalSymposiumonComputerArchitectureandHighPerformanceComputing(SBAC-PAD),Oct2005,pp.193-200.(Acceptancerate:29accepted/93submissions=35%)

156. W.LloydBircher,M.Valluri,J.LawandL.John,“RuntimeIdentificationofMicroprocessorEnergySavingOpportunities”,InternationalSymposiumonLowPowerElectronicsandDesign(ISLPED),Aug2005,pp.275-280.(Acceptancerate:53accepted/233submissions=23%)

157. B.K.Lee,L.JohnandE.B.John,“ArchitecturalSupportforAcceleratingCongestionControlApplicationsinnetworkProcessors”,IEEE16thInternationalConferenceonApplication-specificSystems,ArchitecturesandProcessors(ASAP2005),July2005,pp.169-175.(Acceptancerate:43accepted/90submissions=48%)

24

158. RobertH.Bell,Jr.andLizyK.John“ImprovedAutomaticTestcaseSynthesisforPerformanceModelValidation”,19thACMInternationalConferenceonSupercomputing(ICS),June2005,pp.111-120.(Acceptancerate:42accepted/152submissions=28%)

159. MadhaviValluri,LizyK.John,andKathrynMcKinley“LowPower,LowComplexityInstructionIssueUsingCompilerAssistance“,19thACMInternationalConferenceonSupercomputing(ICS),June2005,pp.209-218.(Acceptancerate:42accepted/152submissions=28%)

160. AashishPhansalkar,AjayJoshi,LievenEeckhout,andLizyK.John,“MeasuringProgramSimilarity”,ProceedingsoftheIEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS05),April2005,pp.10-20.(Acceptancerate:27accepted/92submissions=29%)

161. YueLuoandL.K.John,“OnSamplingUnitSizeinSampledMicroprocessorSimulation”,ProceedingsoftheIEEEIPCCCConference,April2005,pp.81-90.(Acceptancerate:36accepted/103submissions=35%)

162. ShiwenHu,MadhaviValluri,andLizyK.John,“EffectiveAdaptiveComputingEnvironmentManagementviaDynamicOptimization”,InternationalSymposiumonCodeGenerationandOptimization(CGO),March2005,pp.63-73.(Acceptancerate:26accepted/75submissions=35%)

163. YueLuo,LizyK.John,andLievenEeckhout,“Self-MonitoredAdaptiveCacheWarm-UpforMicroprocessorSimulation”,Proceedingsof16thSymposiumonComputerArchitectureandHighPerformanceComputing(SBAC-PAD)2004,pp.10-17.(Acceptancerate:32accepted/93submissions=34%)

164. JuanRubio,CharlesLefurgy,andLizyK.John,“ImprovingServerPerformanceonTransactionProcessingWorkloadsbyEnhancedDataPlacement”,Proceedingsof16thSymposiumonComputerArchitectureandHighPerformanceComputing(SBAC-PAD)2004,pp.84-91.(Acceptancerate:32accepted/93submissions=34%)

165. JuanRubioandLizyJohn,“AnalysisoftheExecutionofaNextGenerationApplicationonSuperscalarandGridProcessors”,ProceedingsofIEEEInternationalConferenceonParallelandDistributedSystems(ICPADS2004),NewportBeach,California,July7-9,2004,pp.307-314.(Acceptancerate:66accepted/213submissions=31%)

166. LievenEeckhout,RobertBellJr.,BastiaanStougie,KoenDeBosschere,LizyK.John,“ControlFlowModelinginStatisticalSimulationforAccurateandEfficientProcessorDesignStudies”,ProceedingsoftheInternationalSymposiumonComputerArchitecture(ISCA),Munich,Germany,June2004,pp.350-361.(Acceptancerate:31accepted/217submissions=14%)

167. ByeongKilLeeandLizyK.John,“NpBench:ABenchmarkSuiteforControlPlaneandDataPlaneApplicationsforNetworkProcessors”,ICCD2003,pp.226-233.(Acceptancerate:61accepted/233submissions=26%)

168. MadhaviValluri,LizyKurianJohnandHeatherHanson,“Exploitingcompiler-generatedschedulesforenergysavingsinhigh-performanceprocessors”,InProceedingsoftheInternationalSymposiumonLowPowerElectronicsandDesign(ISLPED),Seoul,Korea,Aug2003,pp.414-419.(Acceptancerate:90accepted/221submissions=41%)

169. TaoLiandLizyKurianJohn,“RoutinebasedOS-awareMicroprocessorResourceAdaptationforRun-timeOperatingSystemPowerSaving”,InProceedingsofthe

25

InternationalSymposiumonLowPowerElectronicsandDesign(ISLPED),Seoul,Korea,Aug2003,pp.241-246.(Acceptancerate:90accepted/221submissions=41%)

170. S.Kim,N.Vijaykrishnan,M.J.Irwin,andL.K.John,“OnLoadLatencyinLow-PowerCaches”,InProceedingsoftheInternationalSymposiumonLowPowerElectronicsandDesign(ISLPED),Seoul,Korea,Aug2003,pp.258-261.(Acceptancerate:90accepted/221submissions=41%)

171. TaoLiandLizyKurianJohn,“Run-timeModelingandEstimationofOperatingSystemPowerConsumption”,InProceedingsoftheInternationalConferenceonMeasurementandModelingofComputerSystems(SIGMETRICS),2003,pp.160-171.(Acceptancerate:26accepted/222submissions=12%)

172. RaviBhargavaandLizyK.John,“ImprovingDynamicClusterAssignmentforClusteredTraceCacheProcessors”,InProceedingsofthe30thInternationalSymposiumonComputerArchitecture(ISCA2003),June2003,pp.264-274.(Acceptancerate:36accepted/184submissions=20%)

173. RobertH.Bell,Jr.andLizyKurianJohn,“InterfaceDesignTechniquesforSingleChipSystems”,InProceedingsoftheSixteenthIEEEConferenceonVLSIDesign,January2003,pp.388-394.(Acceptancerate:84accepted/210submissions=40%)

174. TaoLi,LizyJohn,AnandSivasubramaniam,NarayananVijaykrishnanandJuanRubio,“UnderstandingandImprovingOperatingSystemEffectsinControlFlowPrediction”,InProceedingsoftheTenthInternationalConferenceonArchitecturalSupportforProgrammingLanguagesandOperatingSystems(ASPLOS-X),2002,pp.68-80.(Acceptancerate:24accepted/130submissions=18%)

175. TaoLi,LizyJohnandRobertH.Bell,Jr.,“ModelingandEvaluationofControlFlowPredictionSchemesUsingCompleteSystemSimulationandJavaWorkloads”,InProceedingsofthetenthIEEE/ACMInternationalSymposiumonModeling,AnalysisandSimulationofComputerandTelecommunicationSystems(MASCOTS),2002,pp.391-400.(Acceptancerate:51accepted/180submissions=28%)

176. TaoLi,RaviBhargavaandLizyJohn,“RehashableBTB:AnAdaptiveBranchTargetBuffertoImprovetheTargetPredictabilityofJavaCode”,InProceedingsoftheInternationalConferenceonHighPerformanceComputing(HiPC),2002,pp.597-608.(Acceptancerate:57accepted/145submissions=39%)

177. EugeneB.John,StefanPetko,LizyJohnandJasonLaw,“AccessTimeandEnergyTradeoffsforCachesinHighFrequencyMicroprocessors”,InProceedingsof45thIEEEInternationalMidwestSymposiumonCircuitsandSystems(MWSCAS),Tulsa,Oklahoma,Aug2002,pp.421-424(vol.3).

178. ByeongKilLee,LizyJohn,“ImplicationsofProgrammableGeneralPurposeProcessorsforCompression/EncryptionApplications”,IEEE13thInternationalConferenceonApplication-specificSystems,ArchitecturesandProcessors(ASAP2002),SanJose,California,July2002,pp.233-242.

179. RaviBhargavaandLizyJohn,“LatencyandEnergyAwareValuePredictionforHigh-FrequencyProcessors”,InProceedingsof16thACMInternationalConferenceonSupercomputing(ICS),June2002,pp.45-56.(Acceptancerate:31accepted/144submissions=22%)

26

180. SudhanvaGurumurthi,AnandSivasubramaniam,MaryJaneIrwin,NarayananVijaykrishnan,MahmutKandemir,TaoLi,andLizyKurianJohn,“UsingCompleteMachineSimulationforSoftwarePowerEstimation:TheSoftWattApproach”,InProceedingsofthe2002InternationalSymposiumonHighPerformanceComputerArchitecture(HPCA),Feb2002,pp.141-150.(Acceptancerate:26accepted/130submissions=20%)

181. RobertH.Bell,Jr.,ChangYongKang,LizyJohn,EarlE.Swartzlander,Jr.,“CDMAasaMultiprocessorInterconnectStrategy”,ProceedingsoftheThirty-FifthAsilomarConferenceonSignals,Systems,andComputers,Nov2001,pp.1246-1250(vol.2).

182. Y.LuoandL.John,“WorkloadCharacterizationofmultithreadedJavaServers”,InternationalSymposiumonPerformanceAnalysisofSoftwareandSystems(ISPASS),2001,pp.128-136.(Acceptancerate:20accepted/68submissions=29%)

183. TaoLiandL.John,“UnderstandingtheControlFlowTransferanditsPredictabilityinJavaProcessing”,InternationalSymposiumonPerformanceAnalysisofSoftwareandSystems(ISPASS),2001,pp.65-76.(Acceptancerate:20accepted/68submissions=29%)

184. D.TallaandL.John,“Cost-effectiveHardwareAccelerationofMultimediaApplications”,InternationalConferenceonComputerDesign(ICCD2001),Sept2001,pp.415-424.(Acceptancerate:61accepted/181submissions=34%)

185. R.Radhakrishnan,R.Bhargava,andL.K.John,“ImprovingJavaPerformanceusingHardwareTranslation”,ProceedingsoftheInternationalConferenceonSupercomputing(ICS2001),Italy,June2001,pp.427-439.(Acceptancerate:45accepted/133submissions=34%)

186. SereneBanerjee,LizyK.John,andBrianL.Evans,“TheEASEBranchPredictor”,ProceedingsoftheInternationalConferenceonCommunications,Computers&Devices,Dec2000.(Acceptancerate:69accepted/181submissions=38%)

187. S.Banerjee,H.R.Sheikh,L.K.John,B.L.Evans,andA.C.Bovik,“VLIWDSPvs.SuperscalarImplementationofaBaselineH.263VideoEncoder”,Proc.IEEEAsilomarConf.onSignals,Systems,andComputers,vol.2,PacificGrove,CA,Oct29-Nov1,2000,pp.1665-1669.

188. D.Talla,L.John,V.LapinskiiandB.Evans,“EvaluatingSignalProcessingandMultimediaApplicationsonSIMD,VLIWandSuperscalarArchitectures”,InProceedingsoftheIEEEInternationalConferenceonComputerDesign(ICCD2000),Sept2000,pp.163-172.(Acceptancerate:69accepted/181submissions=38%)

189. R.Radhakrishnan,D.Talla,L.John,“AllowingforILPinanEmbeddedJavaProcessor”,ProceedingsoftheACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA2000),Vancouver,Canada,June2000,pp.294-305.(Acceptancerate:29accepted/166submitted=17%)

190. L.Tao,L.K.John,N.Vijayakrishnan,A.Sivasubramaniam,A.Murthy,andJ.Sabarinathan,“UsingCompleteSystemSimulationtoCharacterizeSPECjvm98Benchmarks”,ProceedingsoftheACMInternationalConferenceonSupercomputing(ICS2000),SantaFe,NewMexico,May2000,pp.22-33.(Acceptancerate:33accepted/122submissions=28%)

191. R.BhargavaandL.K.John,“IssuesintheDesignofStoreBuffersinDynamicallyScheduledProcessors”,InProceedingsofIEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS-2000),Austin,TX,April24-252000,pp.76-87.

27

192. D.TallaandL.K.John,“ExecutionCharacteristicsofMultimediaApplicationsonaPentiumIIProcessor”,ProceedingsoftheIEEEInternationalPerformance,ComputingandCommunicationsConference(IPCCC),Phoenix,AZ,Feb2000,pp.516-523.

193. R.Radhakrishnan,N.Vijaykrishnan,L.K.JohnandA.Sivasubramaniam,“ArchitecturalIssuesinJavaRuntimeSystems”,ProceedingsoftheIEEEInternationalSymposiumonHighPerformanceComputerArchitecture(HPCA-2000),Toulouse,France,Jan2000,pp.387-398.(Acceptancerate:35accepted/163submissions=21%)

194. D.Talla,S.RaoandL.John,“AnEvolutionaryComputationEmbeddedIIRLMSAlgorithm”,InternationalConfonSignalProcessingApplicationsandTechnology(ICSPAT),Orlando,FL,Nov1999.

195. M.ClarkandL.K.John,“PerformanceEvaluationofConfigurableHardwareFeaturesontheAMD-K5”,InProceedingsoftheIEEEInternationalConferenceonComputerDesign(ICCD99),Oct1999,pp.102-107.(Acceptancerate:71accepted/220submissions=32%)

196. S.Srivatsan,andL.John,“OntheUseofPseudorandomSequencesforHighSpeedResourceAllocatorsinSuperscalarProcessors”,ProceedingsoftheIEEEInternationalConferenceonComputerDesign(ICCD99),Oct1999,pp.124-130.(Acceptancerate:71accepted/220submissions=32%)

197. R.Radhakrishnan,J.RubioandL.John,“CharacterizationofJavaApplicationsatByteCodeandUltraSPARCMachineCodeLevels”,ICCD1999,Oct1999,pp.281-284.(Acceptancerate:71accepted/220submissions=32%)

198. G.E.Allen,B.L.Evans,andL.K.John,“Real-TimeHigh-ThroughputSonarBeamformingKernelsUsingNativeSignalProcessingandMemoryLatencyHidingTechniques”,Proc.IEEEAsilomarConfonSignals,SystemsandComputers,PacificGrove,CA,Oct24-27,1999,pp.137-141.

199. D.TallaandL.John,“QuantifyingEffectivenessofMMXinNativeSignalProcessing”,IEEEMidwestSymposiumonCircuitsandSystems,Aug1999.

200. H.NguyenandL.John,“ExploitingSIMDParallelisminDSPandMultimediaAlgorithmsUsingtheAltiVecTechnology”,ProceedingsoftheACMInternationalConferenceonSupercomputing(ICS99),Greece,June1999,pp.11-20.(Acceptancerate:57accepted/180submissions=32%)

201. R.RadhakrishnanandL.John,“APerformanceStudyofModernWebServerApplications”,Euro-Par1999,LectureNotesinComputerScience,Springer,pp.239-247.(Acceptancerate:188acccepted/343submissions=55%)

202. D.TallaandL.K.John,“PerformanceEvaluationandBenchmarkingofNativeSignalProcessing”,Euro-Par1999,LectureNotesinComputerScience,Springer,pp.266-270.(Acceptancerate:188acccepted/343submissions=55%)

203. R.Shalem,E.JohnandL.K.John,“ANovelLowPowerStaticEnergyrecoveryFullAdderCell”,Proceedingsofthe1999IEEEGreatLakesSymposiumonVLSI,Michigan,March1999,pp.380-383.

204. B.Grayson,L.JohnandC.Chase,“TheEffectsofMemory-AccessOrderingonMultiple-IssueUniprocessorPerformance”,ProceedingsoftheIEEEPerformance,ComputersandCommunicationsConference(IPCCC),Feb1999,pp.293-302.

28

205. R.Bhargava,L.K.JohnandF.Matus,“AccuratelyModelingSpeculativeInstructionFetchinginTrace-DrivenSimulation”,ProceedingsoftheIEEEPerformance,ComputersandCommunicationsConference(IPCCC),Feb1999,pp.65-71.

206. D.Tang,A.M.G.MaynardandL.K.John,“ContrastingBranchCharacteristicsandBranchPredictorPerformanceofC++andCPrograms”,ProceedingsoftheIEEEPerformance,ComputersandCommunicationsConference(IPCCC),Feb1999,pp.275-283.

207. S.Srinivasan,P.Chabra,P.Jaini,A.AzizandL.John,“FormalVerificationofSnoop-basedCacheCoherenceProtocolusingSymbolicModelChecking”,intheProceedingsofthe12thInternationalConferenceonVLSIDesign(PublishedbyIEEEComputerSociety),India,Jan1999,pp.288-293.(Acceptancerate:75accepted/194submissions=39%)

208. R.Bhargava,L.K.John,B.L.Evans,andR.Radhakrishnan,“EvaluatingMMXTechnologyusingDSPandMultimediaApplications”,ProceedingsoftheIEEESymposiumonMicroarchitecture(MICRO-31),Dallas,Texas,Dec1998,pp.37-46.(Acceptancerate:28accepted/108submissions=26%)

209. R.RadhakrishnanandL.John,“ExecutionCharacteristicsofObjectOrientedProgramsontheUltraSPARC-II”,Proceedingsofthe5thInternationalConferenceonHighPerformanceComputing(PublishedbyIEEEComputerSociety),Dec1998,pp.202-211.(Acceptancerate:62accepted/104submissions=60%)

210. L.John,Y.Teh,F.MatusandC.Chase,“CodeCoalescingUnit:AMechanismtoFacilitateLoadStoreDataCommunication”,ProceedingsofIEEEInternationalConferenceonComputerDesign,Oct1998,pp.550-557.(Acceptancerate:69accepted/189submissions=36%)

211. G.BeersandL.John,“ANovelMemoryBusDriver/ReceiverArchitectureforHigherThroughput”,ProceedingsoftheInternationalConferenceonVLSIDesign(PublishedbyIEEEComputerSociety),Jan1998,pp.259-264..(Acceptancerate:57accepted/123submissions=46%)

212. A.Kulkarni,N.Chander,S.Pillai,L.John,“ModelingandAnalysisoftheDifference-BitCache”,ProceedingsoftheGreatLakesSymposiumonVLSI,1998,pp.140-145.

213. E.John,F.HudsonandL.K.John,“HybridTree:ASalableOptoelectronicInterconnectionNetworkforParallelComputing”,ProceedingsoftheHawaiiInternationalConferenceonSystemSciences,Jan1998,Vol.VII,pp.466-474.(Acceptancerate:75accepted/181submissions=41%)

214. L.JohnandA.Subramanian,“DesignandPerformanceEvaluationofaCacheAssisttoimplementSelectiveCaching”,ProceedingsoftheIEEEInternationalConferenceonComputerDesign,Oct1997,pp.510-518.(Acceptancerate:91accepted/175submissions=52%)

215. LizyKurian-JohnandR.Radhakrishnan,“ImprovingtheParallelismandConcurrencyinDecoupledArchitectures”,ProceedingsoftheIEEESymposiumonParallelandDistributedProcessing,NewOrleans,Oct1996,pp.130-137.(Acceptancerate:84accepted/217submissions=39%)

216. L.K.John,“VaWiRAM:AVariableWidthRandomAccessMemoryModule”,Proceedingsofthe9thInternationalConferenceonVLSIDesign,Jan1996,pp.219-224.(Acceptancerate:75accepted/137submissions=55%)

29

217. L.K.John,R.Reddy,V.Kammila,andP.Maurer,“InvestigatingtheUseofCacheasaLocalMemory”,ProceedingsoftheInternationalHighPerformanceComputingConference(HiPC),Dec1995,pp.117-122.(Acceptancerate:126accepted/213submissions=59%)

218. L.K.John,V.Reddy,P.HulinaandL.Coraor,“ProgramBalanceanditsImpactonHighPerformanceRISCArchitectures”,ProceedingsoftheInternationalSymposiumonHighPerformanceComputerArchitecture(HPCA),Jan1995,pp.370-379.(Acceptancerate:36accepted/190submissions=19%)

219. L.Kurian,D.Brewer,andE.John,“DesignofaHighlyReconfigurableInterconnectforArrayProcessors”,Proceedingsofthe8thInternationalConferenceonVLSIDesign,Jan1995,pp.321-325.(Acceptancerate:77accepted/139submissions=55%)

220. L.K.John,V.Reddy,P.T.HulinaandL.D.Coraor,“AComparativeEvaluationofSoftwareTechniquestoHideMemoryLatency”,Proceedingsofthe28thHawaiiInternationalConferenceonSystemSciences(HICSS),Jan1995,Vol.I,229-238.

221. L.KurianandY.Liu,“PerformanceModelforaPrioritizedMultiple-BusMultiprocessorSystem",ProceedingsoftheIEEESymposiumonParallelandDistributedProcessing(IPDPS),Oct1994,pp.577-584.

222. L.Kurian,B.Choi,P.T.Hulina,andL.D.Coraor,“ModulePartitioningandInterlacedDataPlacementSchemestoReduceConflictsinInterleavedMemories",Proceedingsofthe23rdInternationalConferenceonParallelProcessing,Aug1994,Vol.I,pp.212-219.

223. L.Kurian,P.T.HulinaandL.D.Coraor,“MemoryLatencyEffectsinDecoupledArchitectureswithaSingleDataMemoryModule",Proc.ofthe19thIntl.SymposiumonComputerArchitecture(ISCA),Australia,May1992,pp.236-245.

224. L.KurianandM.J.Thazhuthaveetil,“EffectofHotSpotsonMultiprocessorSystemsusingCircuitSwitchedInterconnectionNetworks",Proceedingsofthe20thInternationalConferenceonParallelProcessing,Aug1991,Vol.I,pp.554-557.

225. L.Kurian,P.T.Hulina,L.D.CoraorandD.N.Mannai,“ClassificationandPerformanceEvaluationofInstructionBufferingTechniques",Proceedingsofthe18thInternationalSymposiumonComputerArchitecture(ISCA),Toronto,Canada,May1991,pp.150-159

OtherConferencePapers:

226. Steven Flolid,Zachary Susskind, Emily Shriver Lizy K. John, “SimTrace: Capturing Over Time Phase Behavior”, ISPASS 2020 Poster Paper

227. Snehil Verma, Qinzhe Wu, Bagus Hanindhito, Gunjan Jha, Eugene John, Ramesh Radhakrishnan, and Lizy Kurian John, Metrics for Machine Learning Workload Benchmarking, International Workshop on Performance Analysis of Machine Learning Systems (FastPath) in conjunction with ISPASS 2019. March 2019

228. RameshRadhakrishnan,LizyK.John,SnehilVerma,QinzheWu,BagusHanindhito,GunjanJha,EugeneJohn,DemystifyingHardwareInfrastructureChoicesforDeepLearningusingMLPerf,NVIDIAGTCConference,March2019,California

229. QinzheWu,StevenFlolid,ShuangSong,JunyongDeng,andLizyK.John,“HotRegionsinSPECCPU2017”,InvitedPaper,SpecialSessiononHotWorkloads,IEEEInternationalConferenceonWorkloadCharacterization(IISWC)2018.,pp.71-77.

30

230. JuanRubioandLizyK.John,“UnderstandingtheExecutionofaRadarMotionIndicationApplication”,ProceedingsofInternationalConferenceonParallelandDistributedSystems(ICPADS)2004.

231. DeepuTallaandLizyJohn,“Factsandmythsaboutmediaprocessingongeneral-purposeprocessors”,InProceedingsofIEEEInternationalConferenceonInformationTechnology:ResearchandEducation(SpecialSessiononTechnologyandTrendsinMediaProcessing),Newark,NJ,Aug10-13:2003

232. WooseokLee,DamSunwoo,ChristopherD.Emmons,AndreasGerstlauerandLizyK.John,“ExploringHeterogeneous-ISACoreArchitecturesforHighPerformanceEnergy-EfficientMobileSoCs(Poster),IEEEGreatLakesSymposiumonVLSI(GLSVLSI),May2017

233. ReenaPandaandLizyK.John,ProxyBenchmarksforEmergingWorkloads,PosterPaperatISPASSApril2017

234. ShuangSong,AndreasGerstlauerandLizyK.JohnFine-grainedPowerAnalysisof

EmergingGraphProcessingWorkloadsforCloudOperationsManagement,IEEEBigData2016Workshop,Dec2016

235. AlexanderC.SchulyakandLizyK.John,IdentifyingPerformanceBottlenecksinHive:Use

ofProcessorCounters,IEEEBigData2016Workshop,Dec2016

236. JiajunWang,AhmedKhawaja,GeorgeBiros,AndreasGerstlauerandLizyK.John,“OptimizingGPGPUKernelsummationforPerformanceEnergyEfficiency”,ICPPWorkshoponHeterogeneousandUnconventionalClusterArchitecturesandapplications(HUCAA),August2016

237. ReenaPanda,YasukoEckert,NuwanJayasena,OnurKayiran,MichaelBoyer,LizyKurianJohn, “Prefetching Techniques for Near-memory Throughput processors”, SRC Tech CON,Sep2016

238. RuiHan,ShulinZhan,ChenrongShao,JunweiWang,LizyK.John,JiangtaoXu,GangLu,and LeiWang. BigDataBench-MT: A Benchmark Tool for Generating RealisticMixed DataCenter Workloads. In: 2015 ACM Symposium on Cloud Computing (SoCC 2015), Hawai'i,USA.Posterpaper.

239. TECH CON paper, September 2015 Michael LeBeane, Shuang Song and Lizy K. John,WattWatcher:Fine-GrainedPowerEstimationforEmergingWorkloads,SRCTECHCON

240. TECH CON paper, Xinnian Zheng, A. Gerstlauer, and Lizy K. John, “Learning-basedAnalyticalCross-PlatformPerformancePrediction”,SRCTECHCON,Sept2015

241. JeeHoRyooMichaelLeBeane,MuhammadFaisalIqbal,LizyJohnControlFlowBehaviorof Cloud Workloads, IEEE International Symposium on Workload Characterization, 2014,posterpaper.

242. Z.Zhao,D.Lee,A.GerstlauerandL. John,“Host-Compiled reliabilityModeling for fastEstimationofArchitecturalVulnerabilities”,SELSE,April2015

243. ReenaPanda,ChristopherErb,andLizyK.John,“BigversusLittle:Whowilltrip?”,SELSE2015poster,Austin,Texas,April2015

31

244. M.F.IqbalandL.K.John,“LAPS:LocalityAwarePacketProcessing”,SRCTechCon2013

245. ZhibinYu,LievenEeckhout,NilanjanGoswami,TaoLi,LizyK.John,HaiJin,ChengzhongXu,AcceleratingGPGPUArchitectureSimulation,SIGMETRICS2013poster

246. DonOwenJr.,TheFeasibilityofMemoryEncryptionandAuthentication,FastPathWorkshop,HeldinconjunctionwithISPASSApril2013,Austin,Texas

247. MuhammadFaisalIqbalandLizyK.John,EfficientTrafficAwarePowerManagementforMulticoreCommunicationsProcessorsSRCTECHCON2012

248. M.FaisalIqbalandLizyK.John,“PowerandPerformanceAnalysisofNetworkTrafficPredictionTechniques”,IEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS)April2012

249. YoungtaekKimandLizyK.John,Impactofcompileroptimizationsonvoltagedroopsandreliability of an SMT,multi-core processor, The 1st InternationalWorkshopon Secureand Resilient Architectures and Systems (SRAS) in conjunction with PACT 2012.September2012

250. YoungtaekKim,LizyKurianJohn,"Automateddi/dtstressmarkgenerationformicroprocessorpowerdistributionnetworks,”IEEEWorkshoponSiliconErrorsinLogic-SystemEffects(SELSE),Mar.2012.

251. LizyK.John,JunghoJoandKarthikGanesan,“WorkloadSynthesisforaCommunicationsSoC”,WorkshoponSoCArchitectures,AcceleratorsandWorkloads(SAW)inconjunctionwithHPCA,February12,2011,SanAntonio

252. J. Jo, L. K. John, M. Reese, and J. Holt “Validation of Synthetic Benchmarks byMeasurement”,WorkshoponUniqueChipsandSystems(UCAS),2010.

253. F. Iqbal and L. K. John, “Confusion by All Means”, Workshop on Unique Chips andSystems(UCAS),2010.

254. CijiIsenandLizyJohn,ATaleofTwoProcessors:RevisitingtheRISC-CISCDebate,.2009SPECBenchmarkWorkshop.January2009,SpringerLNCS5419,pp.57-76

255. KarthikGanesan,DeepakPanwar,andLizyJohn,Generation,ValidationandAnalysisofSPECCPU2006SimulationPointsBasedonBranch,Memory,andTLBCharacteristics,.2009SPECBenchmarkWorkshop.January2009,SpringerLNCS5419,pp.121-137

256. DimitrisKaseridisandLizyJohn,PerformanceAnalysisofMultipleThreads/CoresUsingtheUltraSPARCT1",WorkshoponUniqueChipsandSystems(UCAS-4),April20th,2008,Austin

257. Ajay Joshi, Lieven Eeckhout, Lizy John, and Ciji Isen. Stressing MicroarchitecturesThrough Custom Benchmark Synthesis, IBM Center for Advanced Studies (IBM CAS),2008.

258. AjayJoshi,LievenEeckhout,andLizyJohn.TheReturnofSyntheticBenchmarks.StandardPerformanceEvaluationCorporationBenchmarkWorkshop,January2008.

32

259. CijiIsen,LizyK.John,OntheObjectOrientednessofC++ProgramsinSPECCPU2006,StandardPerformanceEvaluationCorporationBenchmarkWorkshop,January2008.

260. SarahBird,AashishPhansalkar,LizyK.John,AlexMericas,RajeevIndukuru,CharacterizationofPerformanceofSPECCPUBenchmarksonIntel’sCoreMicroarchitecturebasedProcessor,SPECWorkshopJanuary2007

261. AjayJoshi,LievenEeckhout,RobertH.BellJr.,andLizyJohn,PerformanceCloning:ATechniqueforDisseminatingProprietaryApplicationsasBenchmarks,8thannualIBMCASConferences,March2007

262. SarahBird,AashishPhansalkar,LizyK.John,PerformanceCharacterizationofSPECCPUBenchmarksonIntel'sCoreMicroarchitecturebasedprocessor,8thAnnualIBMCASConferenceMarch2,2007

263. JianChen,NidhiNayyar,andLizyK.John,MappingofApplicationstoHeterogeneousMulti-coresBasedonMicro-architectureIndependentCharacteristics,ThirdWorkshoponUniqueChipsandSystems(UCAS),HeldinconjunctionwithIEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS),April2007.

264. DimitrisKaseridisandLizyK.John.CMP/CMTScalingofSPECjbb2005onUltraSPARCT1TenthWorkshoponComputerArchitectureEvaluationusingCommercialWorkloads,February2007

265. BrianGaideandLizyJohn,AHighThroughputSelf-TimedFPGACoreArchitecture,DigestofUCAS-2(WorkshoponUniqueChipsandSystems),heldinconjunctionwithISPASS2006,March2006

266. AjayJoshi,RobBellJr.,andLizyK.John,“CapturingLocalityofReferenceandBranchPredictabilityofProgramsinSyntheticWorkloads”,IBMCenterforAdvancedStudies(CAS)Conference,Feb2006.

267. RobBell,Jr.,RajivR.Bhatia,LizyK.John,JeffStuecheli,JohnGriswell,PaulTu,LouisCapps,AntonBlanchard,RavelThai,“AutomaticTestcaseSynthesisandPerformanceModelValidationforHigh-PerformancePowerPCProcessors",IBMCenterforAdvancedStudies(CAS)Conference,Feb2006.

268. AashishPhansalkarandLizyK.John,“PerformancePredictionusingProgramSimilarity”,SPECworkshop,Jan2006.

269. JoshuaJ.Yi,AjayJoshi,ResitSendag,LievenEeckhout,andDavidJ.Lilja,“AnalyzingtheProcessorBottlenecksinSPECCPU2000”2006SPECBenchmarkWorkshop,Jan2006.

270. W.L.Bircher,J.Law,M.ValluriandLizyK.John,“EffectiveUseofPerformanceMonitoringCountersforRun-TimePredictionofPower”,PosterinIBMAustinConferenceonEnergy-EfficientDesign(ACEED)2005IBM,Austin,March2005.

271. A.Phansalkar,A.Joshi,L.EeckhoutandL.John,“MeasuringProgramSimilarity:ExperimentswithSPECCPUbenchmarksuites”,ProceedingsoftheIBMCenterforAdvancedStudies(CAS)Conference,Austin,TX,Feb2005.

33

272. R.BellJrandL.K.John,“CapturingtheEssenceofBenchmarks:ANewApproachtobenchmarkSynthesis”,ProceedingsoftheIBMCenterforAdvancedStudies(CAS)Conference,Austin,TX,Feb2005.

273. RobertH.BellJr.,LievenEeckhout,LizyK.John,andKoenDeBosschere,“DeconstructingandImprovingStatisticalSimulationinHLS”,ThirdAnnualWorkshoponDuplicating,Deconstructing,andDebunking(WDDD),alongwithISCAJune2004.

274. MadhaviValluriandLizyJohn,“Hybrid-Scheduling:ACompile-TimeApproachforEnergy-EfficientSuperscalarProcessors”,PosterinIBMAustinConferenceonEnergy-EfficientDesign(ACEED)2004IBM,Austin,TX,March2-4,2004.

275. YueLuoandLizyJohn,“UsingStatisticalTheorytoStudyIssuesinMicroprocessorSimulation”,ProceedingsoftheFifthAnnualAustinCenterforAdvancedStudiesConference(CAS),Feb2004.

276. RaviBhargavaandLizyK.John,“PerformanceandEnergyImpactofInstruction-LevelValuePredictorFiltering”,InProceedingsoftheFirstValue-PredictionWorkshop(VPW1)[heldwithISCA03],June2003.

277. ShiwenHu,RaviBhargava,andLizyK.John,“TheRoleofReturnValuesinExploitingSpeculativeMethod-LevelParallelism”,InProceedingsoftheFirstValue-PredictionWorkshop(VPW1)[heldwithISCA'03],June2003.

278. ShiwenHu,LizyJohn,“ComparisonofJVMPhasesonDataCachePerformance”,IntheFirstWorkshoponManagedRunTimeWorkloads,SanFrancisco,CA,March2003.

279. YueLuoandLizyK.John,“AutomaticallySelectingRepresentativeTracesforSimulationBasedonClusterAnalysisofInstructionAddresshashes”,IBMCASConference,Feb2003.

280. PattabiSeshadri,LizyJohnandAlexMericas,“WorkloadCharacterizationofJavaServerApplicationsonTwoPowerPCProcessors”,InProceedingsoftheThirdAnnualAustinCenterforAdvancedStudiesConference,Austin,TX,Feb15,2002.

281. LizyJohn,“ContemporaryPerformanceEvaluation:OverwhelmingEffort?IrrelevantResults?“Positionpaper,NSFworkshop,Dec2001.

282. DeepuTallaandLizyJohn,“Adecoupledarchitectureforacceleratingmultimediaapplications,ProceedingsofWorkshoponMemoryAccessDecoupledArchitectures”inconjunctionwithIEEEInternationalConferenceonParallelArchitecturesandCompilationTechniques,Barcelona,Spain,Sept8,2001.(Selectedasoneof2bestpapers)

283. P.SheshadriandL.K.John,“CharacterizationofWebServerWorkloadsonThreeGenerationsofIBMPowerPCMicroarchitectures”,IBMCenterforAdvancedStudiesConference,Feb2001.

284. MikeClark,AjayaDurg,KevinLienenbrugger,andLizyJohn,“EvaluationofTPC-HbenchmarkonAthlonbasedsystems”,FourthWorkshoponComputerArchitectureEvaluationusingCommercialWorkloads,Monterrey,Mexico,Jan21st,2001.

34

285. YueLuoandLizyK.John,“PerformanceImpactofMultithreadedJavaServerApplications”,FourthWorkshoponComputerArchitectureEvaluationusingCommercialWorkloads,Monterrey,Mexico,Jan21st,2001.

286. M.ValluriandL.John,“IsCompilingforPerformance==CompilingforPower?”The5thAnnualWorkshoponInteractionbetweenCompilersandComputerArchitectures(INTERACT-5),Monterrey,Mexico,Jan20,2001.

287. L.K.John,J.Rubio,“EffectivenessofOutofOrderSchedulingintheIBMPowerPCProcessors”,IBMCenterforAdvancedStudiesInauguralConference,July2000.

288. P.SheshadriandL.K.John,“CharacterizationofWebServerWorkloadsonThreeGenerationsofIBMPowerPCMicroarchitectures”,IBMCenterforAdvancedStudiesInauguralConference,July2000.

289. R.RadhakrishnanandL.K.John,“ADecoupledTranslateExecute(DTE)ArchitecturetoImprovePerformanceofJavaExecution",WorkshoponHardwareSupportforObjectsandMicroarchitecturesforJava,HeldinconjunctionwiththeInternationalConferenceonComputerDesign(ICCD)1999,Oct10,1999,pp.25-29.

290. R.RadhakrishnanandL.K.John,“WebWorkloadCharacterizationataMicroarchitecturalLevel”,WorkshoponCommercialWorkloadCharacterization,HeldInConjunctionwiththe1999HighPerformanceComputerArchitectureSymposium,Jan1999.

291. R.Bhargava,R.Radhakrishnan,B.L.Evans,andL.K.John,“CharacterizationofMMX-EnhancedDSPandMultimediaApplicationsonaGeneralPurposeProcessor”,DigestoftheWorkshoponPerformanceAnalysisanditsImpactonDesign(heldinconjunctionwithISCA98),June1998,pp.16-23.

292. R.Radhakrishnan,D.TangandL.John,“UnderstandingtheBranchPerformanceofObjectOrientedWorkloads”,DigestoftheWorkshoponPerformanceAnalysisanditsImpactonDesign(heldinconjunctionwithISCA98),June1998.

293. L.K.John,“TheUndergraduateCurriculumintheElectricalandComputerEngineeringDepartmentattheUniversityofTexasatAustin”,DigestoftheWorkshoponComputerArchitectureEducation(heldinconjunctionwithISCA98),June1998.

294. L.Nguyen,T.Nguyen,L.K.JohnandS.Srivatsan,“FPGAModelofMIPSR2000CPU”,ProceedingsofASEE-GSWconference,March1998,pp.55-60.Thispaperwonthebestpaperawardattheconference.

295. A.Dewhirst,D.Nguyen,H.Tran,L.JohnandS.Srivatsan,“VHDLModelofMIPSR2000CPU”,ProceedingsofASEE-GSWconference,March1998,pp.51-55.

296. L.John,“ExperienceTeachingaSeniorLevelCourseonDigitalDesignUsingFPGAs",ProceedingsofIEEEInternationalConferenceonMicroelectronicSystemsEducation(MSE97),CrystalCity,Virginia,July1997,pp.97-98.

35

297. L.JohnandR.Radhakrishnan,“c_ICE:ACompiler-basedInstructionCacheExclusionScheme”,ProceedingsoftheWorkshoponInteractionbetweenCompilersandComputerArchitecture,heldinconnectionwithHPCASymposium,Feb1997.

298. L.Kurian,PaulT.Hulina,andLeeD.Coraor,“ExpectedandObtainedPerformancefromDecoupledArchitectures”,ACMInternationalSupercomputingConference,Nov1992.

299. L.Kurian,PaulT.HulinaandLeeD.Coraor,“RoleofanAccessProcessorinaRISCEnvironment",ACMInternationalSupercomputingconference,Minneapolis,Nov1992.

C. TechnicalReports

290. ShuangSong,Q.Wu,S.Flolid,J.Dean,R.Panda,andLizyK.John,ExperimentswithCPU2017,TechnicalReportTR-180515-01,LCA,DepartmentofECE,UTAustin,Availableonarxiv

291. AjayJoshi,AashishPhansalkar,LievenEeckhout,andLizyJohn,“MeasuringBenchmarkSimilarityUsingInherentProgramCharacteristics,TechnicalReportTR-060201-0,Feb2006.

292. YueLuoandLizyJohn,“SimulatingJavaCommercialThroughputWorkload:ACaseStudy”,TechnicalReportTR-050710-01.July2005.

293. YueLuo,AjayJoshi,AashishPhansalkar,LizyJohn,andJoydeepGhosh,“AnalyzingandImprovingClusteringBasedSamplingforMicroprocessorSimulation”,TechnicalReportTR-050301-01.March2005.

294. AashishPhansalkar,AjayJoshi,LievenEeckhout,andLizyK.John,“MeasuringProgramSimilarity”,TechnicalReportTR-050127-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Jan2005.

295. W.L.Bircher,J.Law,M.ValluriandLizyK.John,“EffectiveUseofPerformanceMonitoringCountersforRun-TimePredictionofPower”,TechnicalReportTR-041104-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Nov2004.

296. AashishPhansalkar,AjayJoshi,LievenEeckhout,andLizyK.John,“FourGenerationsofSPECCPUBenchmarks:Whathaschangedandwhathasnot”,TechnicalReportTR-041026-01-1,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Oct2004.

297. RobertH.Bell,Jr.,andLizyK.John,“ExperimentsinAutomaticBenchmarkSynthesis”,TechnicalReportTR-040817-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Aug2004.

298. YueLuoandLizyK.John,“UsingStatisticalTheorytoStudyIssuesinMicroprocessorSimulation”,TechnicalReportTR-0400225-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Feb2004.

299. LizyK.John,”MoreonfindingaSingleNumbertoindicateOverallPerformanceofaBenchmarkSuite”,TechnicalReportTR-040126-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Jan2004.

300. AashishPhansalkarandLizyKurianJohn,“AnalyzingProgramBehaviorofSPECint2000BenchmarkSuiteusingPrincipalComponentsAnalysis”,TechnicalReportTR-040122-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Jan2004.

36

301. AjayJoshi,SrirarmSambamurthy,SaketKumar,andLizyJohn,“PowerModelinginSDRAMs”,TechnicalReportTR-040126-02,Jan2004.

302. RobertH.Bell,Jr.andLizyKurianJohn,“BasicBlockSimulationGranularity,BasicBlockMaps,andBenchmarkSynthesisUsingStatisticalSimulation”,TechnicalReportTR-031119-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Nov2003.

303. ByeongKilLeeandLizyJohn,“DevelopmentandCharacterizationofControl-PlaneNetworkWorkloads”,Aug2003

304. JuanRubioandLizyK.John,“UsingSimulatedAnnealingtoGuideServerDataPlacement”,TechnicalReportTR-030731-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,July2003.

305. ShiwenHuandLizyK.John,“AvoidingStoreMissestoFullyModifiedCacheBlocks”,TechnicalReportTR-030701-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,July2003.

306. RaviBhargavaandLizyK.John,“ClusterAssignmentStrategiesforaClusteredTraceCacheProcessor”,TechnicalReportTR-030331-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,March2003.

307. TaoLiandLizyJohn,“Run-timeModelingandEstimationofOperatingSystemPowerConsumption”,TechnicalReportTR-1101-02,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Nov2002.

308. ShiwenHu,RaviBhargavaandLizyKurianJohn,“TheRoleofReturnValuePredictioninExploitingSpeculativeMethod-LevelParallelism”,TechnicalReportTR-020822-02,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Aug2002.

309. AnandS.Rajan,JuanRubioandLizyK.John,“CachePerformanceinJavaVirtualMachines:AStudyofConstituentPhases”,TechnicalReportTR-020822-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Aug2002.

310. JasonLawandByeongKilLee,“AccessTimeandPowerCharacteristicsofVariousFutureFileConfigurations”,TechnicalReportTR-020821-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Aug2002.

311. YueLuo,PattabiSeshadri,JuanRubio,LizyJohnandAlexMericas,“ACaseStudyof3InternetBenchmarkson3SuperscalarMachines”,TechnicalReportTR-020817-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Aug2002.

312. JuanRubio,MadhaviValluriandLizyJohn,“ImprovingTransactionProcessingusingaHierarchicalComputingServer”,TechnicalReportTR-020719-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,July2002.

313. MadhaviGopalValluriandLizyJohn,“AHybrid-SchedulingApproachforLow-EnergySuperscalarProcessors”,TechnicalReportTR-020617-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,June2002.

37

314. EugeneB.John,StefanPetko,LizyJohnandJasonLaw,“AccessTimeandEnergyTradeoffsforCachesinHighFrequencyMicroprocessors”,TechnicalReportTR-020607-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,June2002.

315. TaoLi,LizyJohn,AnandSivasubramaniamandVijaykrishnanNarayanan,“UnderstandingandImprovingOperatingSystemEffectsinControlFlowPrediction”,TechnicalReportTR-000630-02,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,June2002.

316. RaviBhargava,JuanRubioandLizyJohn,“TravelingSpeculations:AnIntegratedPredictionStrategyforWide-IssueMicroprocessors”,TechnicalReportTR-020524-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,May2002.

317. RaviBhargavaandLizyJohn,“ValuePredictionDesignforHigh-FrequencyMicroprocessors”,TechnicalReportTR-020508-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,May2002.

318. ByeongKilLeeandLizyJohn,“ImplicationsofProgrammableGeneralPurposeProcessorsforCompression/EncryptionApplications”,TechnicalReportLCA-TR-020315,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,2002.

319. DeepuTalla,LizyJohn,andDougBurger,“Hardwaresupporttoreduceoverheadinfine-grainmediacodes”,TechnicalReportLCA-TR-011101,LaboratoryforComputerArchitecture,TheUniversityofTexas,Austin,Nov2001.

320. YueLuoandLizyJohn,“WorkloadCharacterizationofMultithreadedJavaServers”,TechnicalReportTR-010815-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Aug2001.

321. JuanRubioandLizyJohn,“HierarchicalComputing:AnArchitectureforEfficientTransactionProcessing”,TechnicalReportUT-CERC-TR-01-1,ComputerEngineeringResearchCenter,TheUniversityofTexasatAustin,Jan29,2001.

322. RameshRadhakrishnan,JuanRubio,N.VijaykrishnanandLizyJohn,“ExecutionCharacteristicsofJITCompilers”,TechnicalReportTR-990717-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin.

323. RaviBhargava,LizyJohn,andFranciscoMatus,“ExploitingInstructionReusetoEnhanceMicroprocessorSimulation”,TechnicalReportTR-981223-01,LaboratoryforComputerArchitecture,TheUniversityofTexasatAustin,Dec1998.

324. SanjeevGhai,JodyJoyner,andLizyK.John,“InvestigatingtheEffectivenessofaThirdLevelCache”,TechnicalReportTR-980501-01,May1998.

D.Books,ChaptersofBooks;EditorofBooks BOOKAUTHORED:

1. DigitalSystemsDesignUsingVHDL,CharlesRothandLizyK.John,3rdedition(CengagePublishers,2017,628pages)

38

2. DigitalSystemsDesignUsingVerilog,CharlesRoth,LizyK.John,andByeongKilLee,CengagePublishers,2014,581pages)

3. DigitalSystemsDesignUsingVHDL,CharlesRothandLizyK.John,2ndedition(ThompsonEngineering,2006-2007,580pages)

BOOKSEDITED:1. ComputerPerformanceEvaluationandBenchmarking,L.JohnandL.Eeckhout,CRCPress,2005

(289pages)

2. WorkloadCharacterizationofEmergingComputerApplications,KluwerAcademicPublishers,2001,ISBN0-7923-7315-4

3. WorkloadCharacterizationforComputerSystemdesign,editedbyL.K.JohnandA.M.Maynard,KluwerAcademicPublishers,2000,209pages,ISBN0-7923-7777-x.

4. WorkloadCharacterization:MethodologyandCaseStudies,editedbyL.JohnandA.M.Maynard,IEEEComputerSociety,153pages,ISBN0-7695-0452-3

BOOKCHAPTERS:

1. LloydBircherandLizyK.John,MeasurementBasedPowerPhaseAnalysis,Chapter7,UniqueChipsandSystems,TaylorandFrancis,2007

2. BrianGaideandLizyK.John,AHigh-ThroughputSelf-TimedFPGACoreArchitecture,Chapter5,UniqueChipsandSystems,TaylorandFrancis,2007

3. Chapter1,PerformanceEvaluationMethodology,ComputerPerformanceEvaluationandBenchmarking,CRCPress,2005(coauthorwithLievenEeckhout)

4. Chapter2,PerformanceEvaluationMethodology,ComputerPerformanceEvaluationandBenchmarking,CRCPress,2005(soleauthor)

5. Chapter3,Benchmarks,ComputerPerformanceEvaluationandBenchmarking,CRCPress,2005(soleauthor)

6. Chapter4,AggregatingPerformanceoveraBenchmarkSuite,ComputerPerformanceEvaluationandBenchmarking,CRCPress,2005(soleauthor)

7. RameshRadhakrishnan,LizyJohn,RaviBhargava,andDeepuTalla,ImprovingJavaperformanceinembeddedandgeneral-purposeprocessors,JavaMicroarchitectures(Chapter5),editedbyN.VijaykrishnanandM.Wolczko,pp.79-104,KluwerAcademicPublishers,2002

8. LizyK.John,ArticleonPerformanceEvaluation,inComputerEngineeringHandbook(Invited)(SoleAuthor)

9. M.ValluriandL.John,"IsCompilingforPerformance==CompilingforPower?",Chapter6,inInteractionbetweenCompilersandComputerArchitectures,editedbyGyungghoLeeandPen-ChungYew,KluwerAcademicPublishers,2001,ISBN0-7923-7370-7

10. TaoLi,LizyK.John,N.Vijaykrishnan,andA.Sivasubramaniam,CharacterizingOperatingSystemActivityinSPECjvm98Benchmarks,BookChapterinCharacterizationofContemporaryWorkloads,pages53-82,KluwerAcademicPublishers,2001,ISBN0-7923-7315-4

39

11. R.Bhargava,J.Rubio,S.Kannan,L.K.John,D.Christie,andL.Klaes,"UnderstandingtheImpactofx86/NTComputingonMicroarchitecture",BookChapterinCharacterizationofContemporaryWorkloads,pages203-228,KluwerAcademicPublishers,2001,ISBN0-7923-7315-4

12. ArticleonHarvardArchitecture,intheEEEncyclopedia,JohnWileyandSons,2000(InvitedArticle)(soleauthor)

13. ArticleonBusArchitectures,TheEncyclopediaofLifeSupportSystems,UNESCOproject(InvitedArticle)(soleauthor)

14. WorkloadCharacterization:Motivation,GoalsandMethodology,pages3-14,inWorkloadCharacterization:MethodologyandCaseStudies,editedbyL.JohnandA.Maynard,IEEEComputerSociety,ISBN0-7695-0452-3

15. ArticleonBit-SliceComputers,intheEEEncyclopedia,JohnWileyandSons,1999(InvitedArticle),pp.39-44ISBN0471-35895-9(soleauthor)

16. ClassificationandPerformanceEvaluationofInstructionBufferingTechniques,inPerformanceModelingforComputerArchitects,editedbyC.M.Krishna,IEEEComputerSocietyPress.Pages94-103.ISBN0-8186-7094-0

E.ORALPRESENTATIONS: KeynoteSpeeches:

1. KeynoteSpeech,The17thInternationalSystem-on-Chip(SoC)Conference,October2019MachineLearningforPowerModelingandPrediction

2. KeynoteSpeech,IEEEWomenInEngineeringInternationalLeadershipSummit(WIEILS),Kochi,India,Sept8,2018

3. KeynoteSpeech,IEEEMin-MoveWorkshopheldwithIEEEParallelArchitecturesandCompilationConference(PACT),ComputingIn-SituandIn-Transit,Sept2017

4. KeynoteSpeech,IEEEInternationalSymposiumonPerformanceAnalysisofSystemsandSoftware(ISPASS)Conference,MachineLearningforPerformanceandPowerModeling/Prediction,April2017,SanFrancisco,California

5. SamsungTechnicalForumPlenarySpeech,“MachineLearningforPowerModelingandPrediction”,Austin,Texas,October8,2015

6. KeynoteSpeech,ManyBig,ManyLittle:WhowillcrunchalltheBigData?,HuaweiStrategicWorkshop,May19,2015,Shenzhen,China.

7. KeynoteSpeech,BigDataandCloudWorkloads:AnArchitect’sPerspective,BPOEWorkshopinconjunctionwithACMASPLOS,SaltLakeCity,Utah,2014

8. InvitedSpeech,PerformanceEvaluationforLargeScaleSystems:ClosedLoopControlwithAppropriateMetrics,ACMSupercomputingConference(SC2013),Nov2013(Host:BillKramer,UIUC)

9. KeynoteSpeech,ACMInternationalConferenceonPerformanceEngineering(ICPE),March14,2011,Karlsruhe,Germany

40

10. KeynoteSpeech,“PerformanceEvaluationandBenchmarking:TheReturnofSyntheticBenchmarks”,IBMCenterforAdvancedStudies(CAS)Conference,Feb22,2008

11. Keynotespeech,“WorkloadCharacterization:CanitsaveComputerArchitectureandPerformanceEvaluation”,WorkshoponCommercialWorkloadCharacterization,Madrid,Spain,Feb15,2004.

OtherTalks:

12. InvitedTalk,DemystifyingInfrastructureChoicesforMachineLearning”,ProvidenceCollegeofEngineering,India,April5,2019

13. AStudyofCoreUtilizationandResidencyinHeterogeneousSmartPhoneArchitectures”,PACM/SPECInternationalConferenceonPerformanceEngineering,April2019,Mumbai,India.

14. Invitedtalk,HotRegionsinHotWorkloads,IEEEIISWC,Raleigh,NorthCarolina,Nov1,2018

15. Invitedtalk,ApproximateTechniquesforPerformanceandPowerModeling/PredictionMODSIM2018,Washington,Seattle,Aug16,2018

16. Panelist,“ModelingandSimulationforExtremeHeterogeneity”,MODSIM2018,Seattle,Aug17,2018.

17. Seminar,ApproximateTechniquesforPerformanceandPowerModeling/Prediction,MIT,May29,2018

18. ComputerScienceColloquium,ApproximateTechniquesforPerformanceandPowerModeling/Prediction,WilliamandMaryUniversity,Virginia,Apr20,2018

19. InvitedSpeech,Industry-AcademiaPartnership,UTCloudWorkshop,ComputingInSituandInTransit,Nov10,2017

20. InvitedSpeech,IEEESPICES2017,MachineLearningforPerformanceandPowerModeling/Prediction,Aug10,2017

21. AdaptiveEnergy-EfficientDesignsforNextGenerationSmartPhoneCPUs,SamsungAustinResearchCenter,Feb3,2017

22. ComputerArchitecture,MemorySystems,PerformanceandPowerOptimizations,SpeechtoUSPTOVisitors,April2017

23. Seminar,“MachineLearningforPowerModelingandPrediction”,PolytecnicoMilan,July8,2016

24. Colloquia,“MachineLearningforPerformanceandPowerModeling”,IndianInstituteofScience,January9,2016

25. InvitedTalk,“WorkloadCharacterizationforBigDataComputing”,Intel,Bangalore,January9,2016

26. InvitedTalk,ARM,“MachineLearningforPerformanceandPowerModeling”,Bangalore,January7,2016

41

27. InvitedTalk,IBMWatsonResearchCenter,YorktownHeights,NewYork,“BigDataWorkloads,AnArchitect’sperspective“,Invitedby:MichaelHealy,Sep14,2015

28. InvitedTalk,“BigDataWorkloads,AComputerArchitect’sperspective”,AMD,Austin,Texas,April6,2015

29. InvitedTalk,ComputerScienceDepartmentColloquium,“BigDataWorkloads,AComputerArchitect’sperspective”BaylorUniversity,May1,2015

30. InvitedSpeech,“WorkloadCharacterizationforBigDataComputing”,ShannonLab,Huawei,May21,2015.

31. Huawei,China,CloudandBigDataWorkloadCharacterization:ChallengesandOpportunities,June23,2014.

32. ShenzhenInstituteofAdvancedtechnology,ChineseAcademyofSciences,Shenzhen,CloudandBigDataWorkloadCharacterization:ChallengesandOpportunities,June24,2014

33. ShenzhenInstituteofAdvancedTechnology,ChineseAcademyofSciences,Shenzhen,MulticoreSystemDesignandOptimization,June25,2014.

34. ChineseAcademyofSciences,Beijing,June27,2014,MulticoreSystemDesignandOptimization

35. SRCIndiaDesignReview,BangaloreIndia,Jan10,2014

36. “MulticoreSystemDesignandOptimization”,TalkgiventoUTgraduateStudents,Sept27,2013

37. SRCAnnualreviewPresentation,PortlandOregon,May3,2013

38. GeorgiaTech,PerformanceVerificationforComplexChips:RoleofWorkloadProxies,April2012

39. PresentationtoLockheedMartin,HardwareSoftwareCo-designforProprietarySystems,March27,2012

40. TexasA&MSeminar,MulticoreSystemDesignandOptimization:November2011

41. WorkloadSynthesisforaCommunicationsSoC,InvitedtalkattheWorkshoponSoCArchitectures,AcceleratorsandWorkloads(SAW)organizedbyRaviIyer(Intel)inconjunctionwithHPCA,February12,2011,SanAntonio

42. SRCAnnualReviewPresentation,March2011

43. LawrenceLivermoreNationalLab,Jan11,2011

44. TalkatFreescaleMeeting,December2010

45. “MulticoreSystemDesignandOptimization”,UTDayatAMD,Aug20,2010

46. “SyntheticBenchmarkGenerationFrameworkforSystemLevelMaxPowerVirusGenerationandPerformanceCloning”,SRCAnnualReviewPresentation,CMU,April27,2010“

47. “WhyconsidergraduateSchool”,PresentationtoHonorsStudentsandtheirparents,April22,2010

48. “LCAResearchinComputerArchitecture,PerformanceEvaluationandWorkloadCharacterization”,April7,2010,PresentedatAMDDayatUT,

49. “AutomaticBenchmarkSynthesis:TheReturnofSyntheticBenchmarks”,Colloquium,ComputerScienceDepartment,UniversityofPittsburg,April26,2010

42

50. AutomaticBenchmarkSynthesisforValidationofPerformanceandPowerModelingofHighPerformanceProcessors,ComputerScienceandEngineeringDepartmentColloquiumPennState,March2010.

51. SRCAnnualReview,Stanford,CA,March2009

52. “EffectiveComputerSystemDesignusingWorkloadCharacterization”,TexasA&MUniversityComputerScienceSeminar,November3,2008

53. TechAreaNightPresentation,EmbeddedSystemsArea,April2008,ECEDepartment

54. “ChipDesign”,CampTexas,IncomingStudentCamp,UTAustin,Aug21,2008

55. “WorkloadCharacterizationforEffectiveComputerSystemDesign”,ComputerScienceColloquium,TheUniversityofTexasatElPaso,Apr18,2008

56. “GraduateSchool:HowtoEnterandSucceed”,TalkgiventoIEEEMeeting,TheUniversityofTexasatElPaso,Apr18,2008.

57. LCAResearchinComputerArchitecture,PerformanceEvaluationandWorkloadCharacterization,TalkgiventoSunMicrosystemsOpenSparcInitiative,January29,2008

58. PerformanceEvaluationandBenchmarking,TalkgivenatUT@IBM:BuildingCollaboration,CreatingImpact,Nov5,2007

59. LCAResearchinComputerArchitecture,PerformanceEvaluationandWorkloadCharacterization,Aug28,2007,ComputerArchitectureIndustryAffiliates

60. ComputerSystemDesignandTechnology,CampTexas,Aug20,2007

61. CRA-W/CDCProgrammingLanguagesSummerSchool,CSDepartment,UTAustin,“WhatProgrammingLanguageResearchersshouldknowaboutComputerArchitecture”,May11,2007

62. CRA-W/CDCComputerArchitectureSummerWorkshop,HowtogetstartedinComputerArchitectureResearch,Princeton,July192006

63. SPECAnnualMeeting,“UseofClusteringinBenchmarkSelection”,Sunnyvale,CA,Jan2005.

64. HewlettPackard,“WorkloadCharacterizationforComputerSystemDesignandEvaluation”,June15,2004

65. UniversityofTexasMITEProgram“ElectricalandComputerEngineering”,June72004

66. LizyJohn,“PanelistatISPASSPanel”,Austin,TX,April2004.

67. TheUniversityofTexasatAustin,ECEDepartmentGraduateStudentOrientation,“LifeinGraduateSchool”,Aug2003

68. TheUniversityofTexasatAustin,CollegeofEngineeringHonorsProgram,“WorkloadCharacterizationforComputerSystemDesign”,Sept14,2002

69. TheUniversityofTexasatAustin,ECEDepartmentGraduateStudentOrientation,“AreasinComputerEngineering”,Aug2001

70. TheUniversityofTexasatAustin,WomeninEngineeringProgram,“DesigningwithFieldProgrammableGateArrays”,July26,2001

71. TheUniversityofTexasatAustinHonorsColloquium,“DesignofMicroprocessors”,July27,2001.

43

72. TheInternationalConferenceonSupercomputing(ICS)2001,“ImprovingJavaPerformanceusingHardwareTranslation”,Italy,June2001.

73. FourthWorkshoponComputerArchitectureEvaluationusingCommercialWorkloads,“EvaluationofTPC-HbenchmarkonAthlonbasedsystems”,Monterrey,Mexico,Jan21st,2001.

74. FourthWorkshoponComputerArchitectureEvaluationusingCommercialWorkloads,“PerformanceImpactofMultithreadedJavaServerApplications”,Monterrey,Mexico,Jan21st,2001.

75. The5thAnnualWorkshoponInteractionbetweenCompilersandComputerArchitectures(INTERACT-5),“IsCompilingforPerformance==CompilingforPower?”Monterrey,Mexico,Jan20,2001.

76. IEEEWorkshoponHardwareSupportforObjectsandMicroarchitecturesforJava,InvitedTalk,“Understanding,ExploitingandImprovingJavaRunTimeSystems”,Sept17,2000.

77. TivoliCorporation,Austin,“Characterizing,UnderstandingandExploitingE-businessworkloads”,Aug21,2000.

78. TheUniversityofTexasatAustinHonorsColloquium,“DesignofMicroprocessors”,July22,2000.

79. IBMCenterforAdvancedStudies(CAS)Conference,IBMAustin,“EffectivenessofOutofOrderSchedulingonThreeGenerationsofIBMPowerPCProcessors”,July19,2000.

80. SingaporeNationalUniversity,“ArchitecturalSupportforJavaRunTimeSystems”,SeminarintheComputerScienceDepartmentandParallelProcessingLaboratory,June14,2000

81. NanyangTechnologicalUniversity,Singapore,“ArchitecturalSupportforJavaRunTimeSystems”,SeminarintheElectricalEngineeringDepartment,June13,2000.

82. Intel,Austin,“ArchitecturalSupportforJavaRunTimeSystems”,SeminarattheIntelTexasDesignCenter,May10,2000.

83. UniversityofIllinois,UrbanaChampaign,“Understanding,ExploitingandImprovingJavaRunTimeSystems”,ElectricalandComputerEngineeringSeminar,May1,2000.

84. ThePennsylvaniaStateUniversity,ComputerScienceandEngineeringDepartmentColloquium,“Understanding,ExploitingandImprovingJavaRunTimeSystems”,March16,2000.

85. CarnegieMellonUniversity,ECESeminar,“ArchitecturalSupportforJavaRunTimeSystems”,March15,2000.

86. UniversityofParisSud,France,ComputerScienceSeminar,“ArchitecturalSupportforJavaRunTimeSystems”,Jan13,2000.

87. HighPerformanceComputerArchitecture(HPCA)2000,“ArchitecturalSupportforJavaRunTimeSystems”,ToulouseFrance,Jan12,2000.

88. PresentationbeforeTechnicalCommitteeonComputerArchitecture“HPCA2002-WhyAustin”,Toulouse,France,Jan10,2000.

89. TheUniversityofTexasHonorsColloquium,July1999,“DesignofMicroprocessors”

90. IEEEInternationalConferenceonComputerDesign,“CodeCoalescingUnit:AMechanismtofacilitateLoadStoreDataCommunication”,Oct1998.

44

91. CollegeofEngineeringUTAustin,EFACCouncilSpousemeeting,Presentationtitle“ResearchinHighPerformanceComputerArchitectureattheLaboratoryforComputerArchitecture”,Fall1998EFAC.

92. WorkshoponPerformanceAnalysisanditsImpactonDesign(heldinconjunctionwithISCA98),“CharacterizationofMMX-EnhancedDSPandMultimediaApplicationsonaGeneralPurposeProcessor”,June1998.

93. WorkshoponComputerArchitectureEducation(heldinconjunctionwithISCA98),“TheUndergraduateCurriculumintheElectricalandComputerEngineeringDepartmentattheUniversityofTexasatAustin”,June1998.

94. HawaiiInternationalConferenceonSystemSciences,“AScalableOptoelectronicInterconnectionNetworkforParallelComputing”,Jan1998.

95. IEEEInternationalConferenceonComputerDesign,“DesignandPerformanceEvaluationofaCacheAssisttoimplementSelectiveCaching”,Oct1997.

96. IBMAustinInnovationSeriespresentation,Half-dayseminar“ImprovingMemoryAccessPerformanceofPrograms”,Aug1997.

97. PresentationattheWorkshoponIntegratingDesignandDesignAutomationintotheUndergraduateComputerScienceCurriculum,Tampa,FL,Aug1997.

98. Half-dayTutorialonRapidPrototypingusingFPGAs,presentedatMicroelectronicsSystemsEducation(MSE)1997,CrystalCity,VA,July1997.

99. ResearchinComputerArchitectureintheECEDepartment,PresentationbeforetheECEVisitingCommittee,May1997.

100. 1996IEEEVLSIWorkshop,“ADecoupledArchitecturewithaCISC-styleAccessProcessorandaRISC-styleExecuteProcessor”,Clearwater,FL,Nov1996.

101. IEEESymposiumonParallelandDistributedProcessing,“ImprovingtheParallelismandConcurrencyinDecoupledAccess/ExecuteArchitectures”,NewOrleans,LA,Oct1996.

102. PresentationattheWorkshoponIntegratingDesignandDesignAutomationintotheUndergraduateComputerScienceCurriculum,Tampa,FL,Aug1996.

103. NorthCarolinaStateUniversity,ElectricalEngineeringDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,June1996.

104. UniversityofTexasatAustin,ElectricalandComputerEngineeringDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,May1996.

105. OhioStateUniversity,ElectricalEngineeringDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,May1996.

106. CharacterizationofMediaWorkloads:TheUniversityofTexasatAustinDigitalSignalProcessingSeminar,April12,1998.

107. UniversityofMaryland,CollegePark,ElectricalEngineeringDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,April1996.

108. UniversityofNorthCarolinaChapelHill,ComputerScienceDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,Apr1996.

109. ThePennsylvaniaStateUniversity,ComputerScienceandEngineeringDepartmentColloquium,“ImprovingMemoryAccessPerformanceofPrograms”,March1996.

45

110. UniversityofConnecticut,ElectricalEngineeringDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,March1996.

111. TuftsUniversity,ElectricalEngineeringDepartment,“ImprovingMemoryAccessPerformanceofPrograms”,March1996.

112. OhioUniversity,ElectricalEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Jan1996.

113. CatholicUniversity,WashingtonD.C.,ElectricalEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Jan1996.

114. UniversityofNorthTexas,June1996,“ImprovingMemoryAccessPerformanceofPrograms”

115. JohnsHopkinsUniversity,ElectricalEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Jan1996.

116. ArizonaStateUniversity,ElectricalEngineeringandTechnology,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,1995.

117. KansasStateUniversity,ElectricalEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,1995.

118. UniversityofDelaware,ElectricalEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Jan1995.

119. InternationalConferenceonVLSIDesign(IEEE)“DesignofaHighlyReconfigurableInterconnectforArrayProcessors”,Jan1995.

120. TheInternationalSymposiumonHighPerformanceComputerArchitecture(HPCA-1),“ProgramBalanceanditsImpactonHighPerformanceArchitectures”,Jan1995.

121. IEEEInternationalConferenceonParallelProcessing,“ModulePartitioningandInterlacedDataPlacementSchemestoReduceConflictsinInterleavedMemories",Aug1994.

122. UniversityofKentucky,ElectricalEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Summer1994.

123. IEEETampaChapter,“RapidPrototypingUsingFieldProgrammableGateArrays”,Jan1994.

124. UniversityofSouthFlorida,ACMChapter,December1993,“ResearchinComputerArchitecture”

125. UniversityofSouthFlorida,ComputerScienceandEngineeringDepartment,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Jan1993.

126. IBMVermont,“IssuesintheDesignofaDecoupledArchitecturefortheRISCEnvironment”,Dec1992.

127. ACM/IEEEInternationalSymposiumonComputerArchitecture(ISCA92),“MemoryLatencyEffectsinDecoupledArchitectures”,GoldcoastAustralia,May1992.

F.PATENTS: 13USpatentsgranted;5pending,

1. U.S.Patent#10,437,648,GuidedLoadBalancingofGraphProcessingWorkloadson

HeterogeneousClusters,October8,2019

46

2. U.S.Patent10,296,465,ProcessorUsingaLevel3TranslationLookasideBufferImplementedinOffChiporDieStackedDynamicRandomAccessmemory”,May21,2019

3. U.S.Patent10,261,915,IntelligentlyPartitioningDataCachetoallocatespaceforTranslationEntries,April16,2019

4. U.S.Patent9,235,397,MethodandApparatusforincreasingtaskexecutionspeed,January12,2016

5. U.S.Patent9,038,039,ApparatusandMethodforAcceleratingJavaTranslation,May19,2015

6. U.S.Patent8,359,597,“Workload-guidedapplicationschedulinginmulti-coresystembasedatleastonapplicationbranchtransitionrates,Jan22,2013

7. USPatent8,250,350,“ComputerSystemwithnon-volatilewrite-protectedmemorybasedoperatingsystemandsecuresystemarchitecture,Aug21,2012

8. USPatent8,230,407,“ApparatusandmethodforacceleratingJavatranslation”,July24,2012

9. USPatent8,214,629,Computersystemwithsecureinstantlyavailableapplicationsusingnon-volatilewrite-protectedmemory”,July3,2012

10. USpatent8,041,931,“Branchpredictionapparatus,systems,andmethods”,GrantedOct18,2011(PatenthasbeenlicensedbyUT)

11. USPatent7,370,183,”BranchPredictorcomprisingasplitbranchhistoryshiftregister”.PatenthasbeenlicensedbyUT.

12. USPatent7,107,434,"System,MethodandApparatusforAllocatingHardwareResourcesusingPseudoRandomSequences".PatenthasbeenlicensedbyUT

13. USPatent5,867,422“ComputerMemoryChipwithfieldProgrammableMemoryCellArrays”,GrantedFeb1999.

1. KoreanPatent10-2008-0106796-MethodandApparatustosaveJavabytecodetranslationsasblocksratherthanperbytecodeinanexternal(offprocessor)Javaacceleratorhardware

2. PatentApplicationfiledinJapanandChina-FE-200703-016-1-US0-MethodandApparatustosaveJavabytecodetranslationsasblocksratherthanperbytecodeinanexternal(offprocessor)Javaacceleratorhardware

3. PatentApplicationfiledinKoreaandbeingfiledinUS,JapanandChina-FE-200703-017-1-US0-MethodandApparatustoPerformEmbeddingofConstantsintoNativeInstructions

4. ProvisionalU.SPatentApplication,AutomaticallyGeneratingMicroprocessorBenchmarkstoGenerateMaximumPowerConsumptionandOperatingTemperature,August2008,ApplicationNo.61088252

5. MethodandApparatustoAutomaticallyGenerateRepresentativeTraining-setsforSupervisedMachineLearningModels,(UTTechID6928JOH)

6. ImprovedMethodologyforGuidedLoadBalancingofGraphWorkloads(CoverprovisionalfiledwithpatentofficebyUT)(UTTechID6902JOH)

7. “MethodologytoUtilizeHeterogeneousMemorieswithVariableProperties”,(Oracleref.no.ORA170676,U.S.patentapplicationno.15/853,665,)

47

G.RESEARCHFUNDING:(sponsoringagency,titleofgrant,totaldollarvalue,beginningandendingdatesandrole)

1. NovelComputingParadigmsforPartialDifferentialEquations,$488,375,ExonMobil,July2019–June2021(co-PI)

2. IntelCorporation,“MiniaturizedProxiesofIndustryStandardBenchmarksforPre-siliconEvaluation”,$80K,June2019-May2020

3. NationalScienceFoundation(NSF),“PredictiveModelingforNextGenerationHeterogeneousSystemDesign”,$1Million,Oct2018-Sept2021(Co-PI)

4. IntelCorporation,“MiniaturizedProxiesofIndustryStandardBenchmarksforPre-siliconEvaluation”,$80K,June2018-May2019

5. SamsungGROGrant,“LearningBasedThermalModeling”,$99K,Oct2018-Sept2019.(Co-PI)

6. NSF,“ImprovingResearchReproducibilityusingProxybenchmarks”,$214K,Oct2017-Sept2019(SolePI)

7. NSF,SPX:“ComputinginSituandInTransitforHierarchicalNumericalAlgorithms”,$800K,Sept2017-Aug2020(Co-PI)

8. IntelCorporation,“MiniaturizedProxiesofIndustryStandardBenchmarksforPre-siliconEvaluation”,$80K,Jan2017-May2018.(Co-PI)

9. SamsungAustinResearchCenter,“TraceSnippetsforRTLPowerModeling”,$99,990,Dec2016-June2018(Sole-PI)

10. IntelCorporation,“Power-AwareSystemCompilation”,$300K,Oct2013-Sep2016,(Co-PI)

11. OracleCorporation,“AMethodologytoIdentifyApplicationMemoryAccessPatternsforEfficientHierarchicalMemorySubsystem”,$97,283,June2015-May2016(SolePI)

12. SamsungGROGrant,“AdaptiveEnergy-EfficientDesignsforNextGenerationSmartPhoneCPUs”,$99,985,Sep2015-Aug2016(Co-PI)

13. SamsungGROGrant,“ScalableNetwork/SystemCo-SimulationForPowerandPerformanceAwareNetworkofSystemsDesign”,$99,985,Sep2015-Aug2016(Co-PI)

14. HuaweiCorporation,“BigDataWorkloadEnergyCharacterization”,$110,000,June2014-May2015(SolePI)

15. OracleCorporation,“AMethodologytoGenerateMiniatureProxiesforDatabaseworkloads”,$60,000,Jan2014-Dec2016

48

16. SemiconductorResearchConsortium(SRC),“WorkloadcharacterizationforBigData”,$240,000,Sep2013-Aug2016(SolePI)

17. NSF,XPS:“AlgorithmsandArchitecturesforMultiresolutionApplications”,$749,801,Sep2013-Aug2015

18. AMDCorporation,“DecompositionofLargeDataAnalyticsintoHierarchicalModels”,$50,000,June2013-May2015

19. OracleCorporation,“AMethodologytoIdentifyApplicationMemoryAccessPatternsforEfficientHierarchicalCaching”,$60,000,June2013-May2014.

20. NSF,SHF:“SustainableandReliableMulticoreandMany-CoreComputingviaCross-LayerSolutions”,$300,000,Sep2012-Aug2015(Co-PI)

21. SemiconductorResearchConsortium(SRC),“Multi-dimensionalModeling,DesignandExplorationofMulti-coreSoCs”,$345K,May2012-April2015(Co-PI)

22. AMDCorporation,“AutomaticGenerationofMulticoreProxyWorkloadsandStressmarks”,$50K,April2012-March2013(Sole-PI)

23. AMDCorporation,“MulticoreStressmarks”,$50K,April2011-March2012(Sole-PI)

24. NSF,SHF:Small:“WorkloadCharacterizationandBenchmarkSynthesisforEmergingComputingSystems”,$425,000,Sept2011-Aug2015.

25. AMDCorporation,“Stress-testingMulticoreProcessorsforWorst-CasePowerConsumptionandVoltageEmergencies”,$50,000,April2010-March2011(Sole-PI)

26. SemiconductorResearchConsortium(SRC),“PowerConsumptionBasedMulticoreTaskSchedulingandLoadBalancing”,$360,000,April2011-March2014(Co-PI)

27. LockheedMartin,“PerformanceCloningforDisseminationofProprietaryApplicationstoHardwareVendors”,$100,000,2008-2009(SolePI)

28. SunMicrosystems,“BenchmarkSynthesisforPerformanceandPowerModeling”,$45,000,2008-2009(SolePI)

29. IBMFacultyAward,WorkloadCharacterization,$15,000,2008-2009(SolePI)

30. NSF,CollaborativeResearchArcher:“SeedingaCommunityBasedcomputingInfrastructureforComputerArchitectureResearchandEducation”,$67,631,2008-2010(Co-PI)

31. AMDCorporation,“ComputerArchitectureResearch”,$8,000,Dec2007-Dec2008(SolePI)

32. SemiconductorResearchConsortium(SRC),“AutomaticBenchmarkSynthesisforValidationofPerformanceandPowerModelsofHigh-PerformanceProcessors”,$330,000,April2008-March2011(Co-PI)

49

33. NSF,“SimplifyingPerformanceEvaluationusingWorkloadCharacterization”,$300,000,Sep2007-Aug2011(SolePI)

34. AMDCorporation,“ComputerArchitectureResearch”,$5000,Nov2006-Nov2007(SolePI)

35. IBMFacultyPartnershipAward,$25,000,June2007(SolePI)

36. IBMCenterforAdvancedStudies(CAS)FacultyPartnershipAward,$7500,June2006(SolePI)

37. AMDCorporation,“ComputerArchitectureResearch”,$5,000,Nov2005(SolePI)

38. SamsungCorporation,“JavaAccelerators”,$128,000,Feb2005-Aug2006(SolePI)

39. IBMCenterforAdvancedStudies(CAS)FacultyPartnershipAward,$25,000,June2005(SolePI)

40. NSF,“StatisticalTechniquesforComputerPerformanceEvaluation”,$200,000,2004-2008(SolePI)

41. AMDCorporation,“ComputerArchitectureResearch”,$5,000,Jan2005(SolePI)

42. IBMPerformanceEvaluationResearch,$500,Dec2004(SolePI)

43. IBMCenterforAdvancedStudies(CAS)FacultyPartnershipAward,“StatisticalTechniquesinPerformanceEvaluationandBenchmarking”,$25,000,July2004(SolePI)

44. HewlettPackard,“ComputerArchitectureResearch”,$800,June2004(SolePI)

45. IntelCorporation,“PerformanceImpactofEmergingWorkloadsonIntelProcessors”,$35,000,March2004(SolePI)

46. AMDCorporation,“ResearchinComputerArchitectureandWorkloadCharacterization”,$3000,Dec2003(SolePI)

47. IBMFacultyPartnershipAwardProject:“DevelopingaMethodologyforPredictingCharacteristicsofFuture/EmergingWorkloads”,$25,000,June2003(SolePI)

48. IntelCorporation,“PerformanceImpactofEmergingWorkloadsonIntelProcessors”,$35,000,May2003(SolePI)

49. IBMSharedUniversityResearch(SUR)grant,$60,000,2002

50. IBMFacultyPartnershipAward-“DevelopingaMethodologyforPredictingCharacteristicsofFuture/EmergingWorkloads”,$25,000,June2002(SolePI)

51. AMDCorporation,“ResearchinComputerArchitectureandWorkloadCharacterization”,$5,000,May2002(SolePI)

52. IntelCorporation,“PerformanceImpactofEmergingWorkloadsonIntelProcessors”,$35,000,March2002(SolePI)

53. MotorolaCorporation,“DevelopmentandCharacterizationofControl-PlaneNetworkWorkloads”,$50,000,Jan2002

50

54. AMDCorporation,“ComputerArchitectureResearch”,$5000,Dec2001(SolePI)

55. IBMSharedUniversityResearch(SUR)Grant,$100,000,2001

56. NSF,“DesigningMicroprocessorsandComputerSystemsforEmergingWorkloads”,$265,000,2001-2004(SolePI)

57. IBMFacultyPartnershipAward-“EffectivenessofOutofOrderMicroarchitecturaltechniquesforwebserverworkloads”,$30,000,May2001(SolePI)

58. TivoliCorporation,“UnderstandingandOptimizinge-Businessworkloadsandtheunderlyinginfrastructure”,Aug2000(SolePI)

59. IBMCenterforAdvancedStudiesPartnershipAward-“EffectivenessofOutofOrderMicroarchitecturalTechniquesforwebserverworkloads”,$25,000,March2000(SolePI)

60. NSFCAREERAward-“ImprovingtheAccess-ExecuteBalanceandConcurrencyinHighPerformanceProcessor”s,$315,000,1996-2000(Sole-PI)

61. UTCo-opBookSubventionGrant,$2500,Aug1999(Sole-PI)

62. DELL-LARIATgrant-“CharacterizationofMultimediaApplicationandAnalysisoftheirPerformanceImpact”,$32,127,July1999(Sole-PI)

63. IntelCorporation,WorkshoponWorkloadCharacterization,$4000,July1999(Sole-PI)

64. IntelCorporation,Computerhardwaregrant-“CharacterizationofMultimediaWorkloadsandAnalysisoftheirPerformanceImpact”,$4,181,July1999(Sole-PI)

65. IntelCorporation,“WebServerCharacterizationStudiesonthePentiumPlatforms”,$15,320,Dec1998

66. DARPA,TRIPS:“TheTera-opReliableIntelligentlyadaptiveProcessingSystemImplementationforPolymorphousComputingArchitectures(PCA)”,$7,617,912,2003-2005(Co-PI)

67. DARPA,TRIPS:“TheTera-opReliableIntelligentlyadaptiveProcessingSystem”,$3,027,480,June2001-May2003(Co-PI)

68. StateofTexasAdvancedTechnologyProgram(ATP)Grant,“HighPerformanceMultiMediaProcessors”,$157,800,Jan2000-Dec2001(PI)

69. NSF,“ImpactofContemporaryProgrammingParadigmsandWorkloads”,$356,314,1998-2001

70. StateofTexasAdvancedTechnologyProgram(ATP)Grant,“HighPerformanceDigitalSignalProcessors”,$134,640,Jan1998-Dec1999(Co-PI)

71. IBM-SURGrant-“End-to-EndMeasurement,ModelingandSimulationofParallel/DistributedComputerSystems”,$100K,Oct1997

72. NSFCISEInfrastructureGrant,DevelopingaDesignAutomationInfrastructure,$373,524,1995-19(Co-PI)

51

Ph.D.SUPERVISIONSCOMPLETED:

ShuangSongJiajunWangMichaelLeBeaneReenaPandaWooseokLee(0.5)XinnianZheng(0.5)JeeHoRyooM.FaisalIqbalYoungtaekKimM.UmarFarooqArunArvindNairKarthikGanesanJianChenCijiIsenJeffStuecheliDimitrisKaseridisLloydBircher

May2020May2019Aug2018Dec2017May2018May2017May2017Aug2013May2013Dec2013May2012Dec2011May2011May2011May2011May2011Dec2010

DistributedGraphProcessing(Facebook)DataReuseOptimization(Google)OptimizingCommunicationforClustersofGPUs(AMD)ProxyBenchmarksforEmergingWorkloads(Apple)Power-AwareMobileSystems(Samsung)LearningBasedPerformanceModeling(NVIDIA)HeterogeneousMemorySystems(Oracle)MulticoreCommunicationProcessorsStressmarksforVoltageEmergencies(Intel)ValueBasedBranchPrediction(ARM)ModelingofSoftErrors(AMD)AutomaticGenerationofSyntheticWorkloadsforMulticoreSystems(Oracle)ResourceManagementforEfficientSingle-ISAHeterogeneousComputing(Intel)TheUseofMemoryStateKnowledgetoImproveComputerMemorySystemOrganization(AMD)CcordinatedMemoryScheduling(IBM)Memory-subsystemResourceManagementfortheMany-coreEra(ARMCorporation)PredictivePowerManagementforMulti-CoreProcessors(AMD)

AjayJoshi

Dec2007 ConstructingAdaptableandScalableSyntheticBenchmarksforMicroprocessorPerformanceEvaluation(ARM)

AashishPhansalkar May2006 SimilarityAnalysisandBenchmarkSubsetting(EmployedatIntel)

RobBellJr. Dec2005 AutomaticWorkloadSynthesisforEarlyDesignStudiesandPerformanceModelValidation(IBM,Samsung)

ByeongKilLee Aug2005 NetworkProcessorDesign:BenchmarksandArchitecturalAlternatives(EmployedatTexasInstruments)

ShiwenHu Dec2005 EffectiveAdaptiveComputingEnvironmentManagementviaDynamicOptimization,(Freescale)

YueLuo Aug2005 ImprovingSampledMicroprocessorSimulation(Microsoft)MadhaviValluri May2005 AHybrid-SchedulingApproachfor

Energy-EfficientSuperscalarProcessors(EmployedatIBM)JuanRubio Aug2004 ExploringthePotentialofaHierarchicalComputing

ModelforaCommercialServer(IBMAustinResearchLab)TaoLi Aug2004 OS-awareArchitectureforImproving

MicroprocessorPerformanceandEnergyEfficiency,(ProfessorUniversityofFlorida)

RaviBhargava Aug2003 InstructionHistoryManagementforHigh-PerformanceMicroprocessors(EmployedatAMD)

DeepuTalla Aug2001 ArchitecturalTechniquestoAccelerateMultimediaApplicationsonGeneral-PurposeProcessors,August2001(VicePresidentatNVIDIA)

52

RameshRadhakrishnan

Aug2000 MicroarchitecturalTechniquestoEnableEfficientJavaExecution(StrategicTechnologyOffice,Dell)

M.S.SUPERVISIONSCOMPLETED: AbigailDowdSnehilVermaHarshGugaleJimXavierBagusHanindhitoShuangSongJiajunWangSarbarthaBanerjeeYashwantMaratheAlexSchulyakJosephWhitehouseJeeHoRyooDarshanGandhiAbhishekTondonDonOwenAnkitaGargBhargaviNarayanasettyChaitanyaNayakRengarajanKarthikGanesan

May2020May2020May2020May2020May2020Dec2019Dec2018May2018May2018Dec2016May2016May2014May2014Dec2013May2013May2013May2011May20112010Dec2008

ElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringComputerSciencesElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineeringElectricalandComputerEngineering

RajivBhatia Aug2008 ElectricalandComputerEngineeringJustinFriesenhahn Dec2007 ElectricalandComputerEngineeringJasonMatalka Aug2006 ElectricalandComputerEngineeringKathrynStacer May2006 ElectricalandComputerEngineeringLloydBircher May2006 ElectricalandComputerEngineeringDiegoVila May2006 ElectricalandComputerEngineeringBrijeshPatel 2005 ElectricalandComputerEngineeringJensonLam 2005 ElectricalandComputerEngineeringBrianGaide 2005 ElectricalandComputerEngineeringJigneshGondalia 2005 ElectricalandComputerEngineeringSaketKumar May2004 ElectricalandComputerEngineeringMichaelArunkumar Dec2003 ElectricalandComputerEngineeringMichaelLanceKarm Dec2003 ElectricalandComputerEngineeringPatrickJamesPeters Dec2003 ElectricalandComputerEngineeringMikeClark May2003 ElectricalandComputerEngineeringAnandSunderRajan 2003 ElectricalandComputerEngineeringJamesYang 2002 ElectricalandComputerEngineeringRaviBhargava Aug2000 ElectricalandComputerEngineeringVikramGodbole May2000 ElectricalandComputerEngineeringSanjeevGhai May2000 ElectricalandComputerEngineeringSrikanthKannan May2000 ElectricalandComputerEngineeringJyotsnaSabarinathan Dec1999 ElectricalandComputerEngineering

53

JodyJoyner Dec1999 ElectricalandComputerEngineeringJuanRubio May1999 ElectricalandComputerEngineeringPoorvaMurarka May1999 ElectricalandComputerEngineeringPurnimaVasudevan May1999 ElectricalandComputerEngineeringRoyShalem Aug1998 ElectricalandComputerEngineeringDachih-Tang Aug1998 ElectricalandComputerEngineeringYinTeh Dec1997 ElectricalandComputerEngineeringRameshRadhakrishnan Aug1997 ComputerScienceandEngineeringVijayKammila Dec1996 ComputerScienceandEngineeringVinodReddy Dec1996 ComputerScienceandEngineeringAmudhaMuthiah Dec1996 ComputerScienceandEngineeringRaghuveerReddy May1995 ComputerScienceandEngineering Ph.D.INPROGRESS: A. Studentsadmittedtocandidacy 1. JiajunWang2. ShuangSong3. DonOwenB. PostM.S.studentspreparingtotakePh.D.qualifyingexam1. MaithiliGandhe2. JustinFriesenhahnM.S.INPROGRESS:

1. QinzheWu2. BagusHanindhito3. StevenFlolid4. JimXavierUNDERGRADRESEARCHASSISTANTS:

1. ZacharySusskind2. JosephDean3. BenjaminThorell4. SantosGomez5. SarahBird6. SamuelOliveros7. GadiOgbobu8. TimiAdiyemi9. RichardLlaca10. XiayongWu

54

VITA: (One-half page paragraph that can be used for general purposes) Dr. Lizy Kurian John holds the Cullen Trust for Higher Education Professorship No. 3 in ElectricalEngineering in the Department of Electrical & Computer Engineering at The University of Texas atAustin.ShereceivedherPh.D.incomputerengineeringfromThePennsylvaniaStateUniversityin1993.She joined The University of Texas Austin faculty in 1996. Her research is in the areas of computerarchitecture, multicore processors, memory systems, performance evaluation and benchmarking,workloadcharacterization,andreconfigurablecomputing.Prof.John'sresearchhasbeensupportedbytheNationalScienceFoundation,SemiconductorResearchConsortium(SRC),DARPA,LockheedMartin,AMD,Oracle,Huawei,IBM,Intel,Motorola,Freescale,Dell,Samsung,Texas Instruments,etc.She is recipientofNSFCAREERaward(1996),UTAustinEngineeringFoundationFacultyAward (2001),Halliburton,BrownandRootEngineeringFoundationYoungFacultyAward (1999),University of TexasAlumniAssociation TeachingAward (2004), ThePennsylvania StateUniversityOutstandingEngineeringAlumnus(2011)etc.SheisintheISCA(InternationalSymposiumonComputerArchitecture)HallofFameandtheHPCA(HighPerformanceComputerArchitecture)HallofFame.Lizy John holds 13 U. S. patents and has published 16 book chapters, 300+ refereed journal andconference publications, and more than 50 workshop papers. She has coauthored books on DigitalSystems Design using VHDL (Cengage Publishers 2017, 2007), Digital Systems Design using Verilog(Cengage Publishers 2014) and has edited a book on Computer Performance Evaluation andBenchmarking(CRCPress2005).Shehasalsoeditedthreebooksonworkloadcharacterization.Prof. John is the Editor-in-Chief of IEEE Micro, and has served in the editorial boards of IEEETransactions on Computers, IEEE Transactions on VLSI, IEEE Transactions on Sustainable Computing,IEEEComputerArchitectureLetters,ACMTransactionsonArchitecturesandCodeOptimization.SheisamemberofIEEE,IEEEComputerSociety,ACM,andACMSIGARCH.SheisanIEEEFellow(Classof2009).