Master Nanoscience and Nanotechnology
Thesis Title: The physics of the light‐tip interaction in laser‐assisted Atom Probe Tomography: Shedding some Light on the coupling of a laser with a nano‐tip to atomic scale characterization
Promotor: André Vantomme (co‐promotor: Claudia Fleischmann)
Faculty/Research Group: Physics, IKS
Daily Supervision: Jeroen Scheerder
Type of work: Experiments 70% / Theory 30%
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
The ultimate dream of a metrologist is to determine the species and position of every atom in any given material.
This greatly helps in understanding its (functional or even more exotic) properties, such as mechanical strength,
electronic conductivity, magnetism or superconductivity. Atom Probe Tomography (APT) emerged as a very
promising technique which can deliver a high spatial 3D resolution (down to a few ångström) and an extremely
high element sensitivity.
Figure: a) Operating principle of (laser‐assisted) Atom Probe Tomography [Vandervorst et al., MSSP 62, 31
(2017)]. b) Left: Scanning electron micrograph of a FinFET transistor shaped into an APT tip. False‐coloured
regions indicate the material. Right: APT reconstruction of a SiGe FinFet [Melkoyan et al., Ultramicroscopy 179,
100 (2017)].
In a nutshell, APT is based on the concept of controlled field emission of atoms from a specimen, in combination
with mass (hence element) identification by time‐of‐flight, with the aim to determine the original location in the
evaporated volume of each evaporated atom (see Figure a). The outcome of such an experiment is a full 3D‐
compositional analysis with sub‐nm resolution (see Figure b).
Master Nanoscience and Nanotechnology
The field evaporation occurs in a substantial electric field (~10V/nm) which can ionize the surface atoms of a tip‐
shaped specimen. A first way to trigger, in a time‐resolved fashion, the atom‐by‐atom evaporation is by voltage
pulses (superimposed on a standing (DC) voltage). This method however, is only suitable for conducting
specimen. The advent of laser‐assisted Atom Probe Tomography (LAPT) enabled to perform atomic scale
characterization of poorly or non‐conductive samples such as semiconductors, ceramics and oxides, which
opened up the field of APT for e.g. semiconductor and energy storage (battery) technologies. In LAPT, the field
evaporation is triggered by an ultrashort laser pulse (superimposed on a DC voltage). Notwithstanding the recent
experimental successes, the physics of LAPT is still poorly understood [Kelly et al., Cur. Opin. Solid State Mater.
Sci. 18, 81 (2014)] and in practice, the analysis suffers from artefacts, which limit the obtained resolution and
sensitivity.
The effect of the laser appears to be mainly a thermal effect. Upon irradiation, the laser energy can be adsorbed
and thus heats up the APT tip, and as such it thermally assists the field evaporation process. Intriguingly however,
field evaporation is even assisted when the bandgap of the material is (significantly) larger than the photon
energy, whereby no light absorption and subsequent heating should normally occur. A tentative explanation
which has been proposed, is that these materials turn metallic close to the tip’s apex under the high applied DC
voltage [Silaeva et al., Nano Lett. 16, 6066 (2014)]. Alternatively, it has been shown that the typical APT tip
fabrication techniques generate a nm‐thick amorphous shell which can act as a light adsorbing layer
[Bogdanowicz et al., J. Quant. Spectr. Rad. Transf. 146, 175 (2014)]. Today, it is still unclear whether these are
the only effects which are at play, and to which extent these contribute to the light adsorption. The nanoscale
dimensions of the APT tips, comparable to the wavelengths of the used laser, also make that localization and
confinements effects come into play [Bogdanowicz et al., Appl. Surf. Sci. 302, 223 (2014)]. Furthermore, our
group recently demonstrated a novel approach to experimentally determine the APT tip apex temperature at
the moment of field‐evaporation [Kumar et al., J. Appl. Phys. 124, 245105 (2018)], which can be exploited for
further unravelling the mechanism underlying LAPT. Finally, it is not excluded that, to some extent, athermal
effects need to be taken into account [Kelly et al., Cur. Opin. Solid State Mater. Sci. 18, 81 (2014)].
It is clear that there is an extensive playground to be explored in search of a deeper understanding of the relevant
processes in LAPT. As such, the candidate can partake in experimental work (tip fabrication, APT analysis...), data‐
analysis (3D reconstruction and analysis...) and possibly physical modelling (theoretical, simulation...).
Master Nanoscience and Nanotechnology
Thesis Title: The metal‐insulator transition in doped oxides as unraveled by atom probe tomography
Promotor: André Vantomme (co‐promotor: Claudia Fleischmann)
Faculty/Research Group: Physics, IKS
Daily Supervision: Tsung‐Wei Chou
Type of work: Experiments 80% / Theory 20%
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Doped oxides (e.g. Sn‐doped In‐oxide and Al‐doped ZnO) that exhibit electronic conductivity are essential for
many applications, e.g. as transparent conductors (TCO) in photovoltaics. The electronic conductivity in TCO’s
can be tailored by engineering the structural properties at the atomic scale, i.e. composition, chemical binding
and spatial distribution of dopants. However the atomic‐level tailoring of such materials requires a fundamental
understanding of atomic mobility/diffusion during deposition and annealing necessitating atomic scale
characterization of the elemental distributions.
Atom probe tomography (APT) is based on controlled field emission of atoms from a specimen which in
combination with mass identification by time‐of‐flight leads to a full 3D‐compositional analysis of the sample.
The 3D‐imaging capabilities of APT arise from the combined interaction of a very high electric field (> 50‐100
eV/nm) and a fs laser pulse with a tip‐shaped (radius 50‐100 nm) specimen to ionize surface atoms and
subsequently field‐evaporate them one by one. By measuring both the mass and position of the emitted atoms,
a 3D image (> 106 magnification) of the material can be reconstructed with near‐atomic (~0.2‐0.3 nm) spatial
resolution. This capability led to its widespread use across different application fields (See figure).
Master Nanoscience and Nanotechnology
Within this project we intend to establish the fundamental insight between atom location and composition (as
provided by APT) and the electronic properties of oxides as well as exploit them to unravel the mechanisms
underlying the APT processes and in particular the laser‐nanotip interaction (light absorption efficiency, induced
temperature, temporal temperature profile...).
For that purpose we will focus on the various phases of vanadium oxide which display a sudden and reversible
metal‐insulator transition (MIT) transition, be it at different transition temperatures (e.g. V8O15 (70K), VO (126K),
V5O9 (135K), V6O13 (150K), V2O3 (165K), V6O11(177K), V4O7 (250K) and VO2 (340K) . In general, upon heating, the
MIT causes a dramatic increase in the electrical conductivity, which for instance in APT can be observed as a
change in evaporation probability. This will be a (time resolved) indicator for the local temperature at the
moment of evaporation. Such in situ observation of the laser induced temperature effects (absorption, heat
propagation in a nanoscale object) and its link to the process of atom evaporation forms the essence of the
scientific investigations in this process.
As a master student, you will become a full member of the nuclear solid state physics group. You will perform
experimental work in the lab, analyze the data and interpret the results and participate in group discussions.
The samples will be grown in collaboration with the University of Gent, whereas APT characterization will be
performed in the KU Leuven NanoCentre.
Master Nanoscience and Nanotechnology
Thesis Title: A SIMPLE approach to multiplexed drug monitoring for rheumatoid arthritis
Promotor: Prof. Jeroen Lammertyn. Co‐promotor: Dragana Spasic
Faculty/Research Group: Master Nano
Daily Supervision: Henry Ordutowski
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology X
Description:
The use of so called point of care (POC) tests has huge potential to change healthcare in both developing and developed countries as it integrates sample processing, fluid handling, signal amplification and detection into a single diagnostic tool. A promising technology that can meet many of these criteria is lab-on-a-chip (LOC). However, LOC devices often require bulky and costly external pumping equipment, thus not entirely fulfilling the POC requirements. To deal with this problem, over the years number of self-powered microfluidic approaches have been described, including paper-based microfluidics, such as lateral flow devices (LFD). Despite the fact that some of these devices did reach the market in the form of commercial POC tests, there are still numerous drawbacks. One of them is their limited potential to support complex bioassays, including multiplexing (i.e. bioassays for detecting more than one target on the same device in the same sample).
To address this challenge, in our group we have recently developed a completely innovative iSIMPLE concept (infusion Self-powered Imbibing Microfluidic Pump by Liquid Encapsulation), starting from the previously published SIMPLE technology. Both pumps require no external power-supply for their activation or liquid manipulation through microfluidic channels and can be activated by a single fingertip press. Moreover, the (i)SIMPLE technology is robust and extremely simple to fabricate/use. Most importantly, combination of both pumps on one device enables endless combinations of liquid manipulations and can be used for implementation of multistep bioassays, such as ELISA.
The main goal of this thesis is to develop a strategy for implementing multiplex ELISA on the (i)SIMPLE device. To do this, different bioreceptors will be printed (through low-cost inkjet printing or more advanced microcontact printing techniques) in the form of neighboring spots, while maintaining enough distance to prevent cross-reactivity. As a model system, we will implement antibodies against drugs used for treating patients suffering from rheumatoid artritis. chronic inflammatory disease (e.g. ulcerative colitis and Crohn’s disease, rheumatoid arthritis, psoriasis and ankylosing spondylitis. This application case has been selected as patients suffering from arthritis require frequent quantification of their drug levels (known as therapeutic drug monitoring). Obtaining a POC device for this application would allow fast and direct adjustment of sub-optimal drug concentrations, increasing the effectiveness of the drug.
Master Nanoscience and Nanotechnology
In this project, the student will work on three aspects: (1) design and production of (i)SIMPLE chips capable of performing multiplex ELISA (2) investigation of a most appropriate bioreceptor deposition approach in the (i)SIMPLE (3) implementation of multiplex ELISA for rheumatoid arthritis on the (i)SIMPLE.
http:// www.biosensors.be
Master Nanoscience and Nanotechnology
Thesis Title: Development of a single‐molecule detection platform using DNA nanotechnology
Promotor: Prof. Jeroen Lammertyn Co‐promotor: Dr. Devin Daems
Faculty/Research Group: Master Nano
Daily Supervision: Iene Rutten
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology x
Description:
Early-stage detection is crucial for the effective treatment or prevention of many diseases such as cancer, neurological disorders or infectious diseases. In this stage of the disease typically only a limited amount of the associated biomarker is present. The low abundance of this biomarker in the typically limited sample volume makes the ability to detect extremely low concentrations of biomolecules indispensable for improving diagnostics. Not only could early-stage detection significantly improve the patient’s quality of life, it would reduce the medical and non-medical costs associated with healthcare as well.
Conventionally, the detection of biomarkers is performed in bulk. However, digital bioassays, such as digital ELISA and digital PCR, have recently emerged in order to meet the desire to improve the sensitivity. Recently, an innovative, non-cleanroom technique was developed by Prof. Gopinath and coworkers to construct a nano-array of DNA origami on microscopy glass slides. DNA origami uses the folding of a long scaffold strand (ssDNA) in arbitrary 2D and 3D structures with nanometer precision, by hybridization with hundreds of short staple strands (ssDNA).
By combining DNA nanotechnology with bioreceptors and signal amplification techniques, robust and price efficient digital DNA only bioassays can be developed. The first choice of bioreceptors will be DNA aptamers. Aptamers are short, single-stranded oligonucleotides (DNA or RNA), selected in vitro, towards specific target molecules. To convert the binding of a target to an aptamer into a detectable output, signal amplification strategies such as HCR can be used. They are able to link target binding to a specific, detectable output, omitting the need for multistep processes in micro-reaction chambers.
In the context of this thesis, the overall objective is to develop a single-molecule detection platform in which thrombin will be used as a model target. To reach this goal, the following tasks will be realized: (i) Developing a nano-array of DNA origami, patterned with aptamers, (ii) implementation of an aptamer-based sandwich assay to develop a thrombin specific bioassay, (iii) integration of the HCR reaction to reach single-molecule detection limits and (iv) integration of the developed single-molecule detection platform into an automated microfluidic chip to reduce handling steps. www.biosensors.be
Master Nanoscience and Nanotechnology
Master Nanoscience and Nanotechnology
Thesis Title: Functionalizing graphene with nanoclusters for spintronics
Promotor: Prof. Joris Van de Vondel and co‐promoter Prof. Ewald Janssens
Faculty/Research Group: Faculty of Science / Solid State Physics and Magnetism Section
Daily Supervision: W. Keijers
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description: An electron carries a charge of magnitude e. In well‐established electronics applications one employs this charge to manipulate electrons. Electrons also have a second fundamental property, namely their spin. In the developing field of spintronics researchers aim to manipulate electrons based on both their spin and their charge.
Graphene is a two‐dimensional material (TDM), which consists of a single layer of carbon atoms and has multiple remarkable properties, some of which are very interesting for spintronics [1]. In particular, opposed to most other materials, electrons inside graphene can propagate a relatively long distance without being scattered. Moreover, graphene is an ultimately flat system which is very susceptible to its surroundings. It has been shown that the (mechanical, electronics, spintronic...) properties of graphene are significantly altered when coming into contact with for instance molecules, nanoparticles, and other TDM’s [2]. This allows to (proximity‐) induce desirable properties in graphene (‘functionalization’) on the one hand, and employ graphene as a sensor on the other.
In this master thesis we aim to decorate graphene with size‐selected, few‐atom, gold and cobalt atomic clusters. These nanoparticles exhibit non‐trivial changes in their physico‐chemical properties, even when adding a single atom to the cluster. The clusters will be produced by a magnetron sputter source and size‐selected by a quadrupole mass filter. The clusters are then soft‐landed on the graphene devices (see figure) and the effect of the cluster adsorption on the properties of the device will be monitored in situ [4].
This challenging experiment will allow us to combine these fascinating low‐dimensional systems, and carefully tune (e.g. in function of cluster size) the (spin)transport properties of the device.
[1] W. Han et al, Nature Nanotech. 9, 794 (2014)
[2] F. Schedin et al, Nature Mat. 6, 652 (2007) or A.K. Geim et al, Nature 499, 419 (2015)
[3] J.E. Scheerder et al, Nanoscale 9, 10494 (2017)
Figure: sketch of the (nano)device that will be
fabricated and studied in this thesis work. A single
layer of graphene is decorated with size‐selected
atomic clusters.
Master Nanoscience and Nanotechnology
Thesis Title: Methanol formation on zinc doped copper clusters
Promoter: Prof. Ewald Janssens
Faculty/Research Group: Faculty of Science / Solid State Physics and Magnetism Section
Daily Supervision: Guillaume Libeert
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronice design
Nanodevices and nanophysics X
Bionanotechnology
Description:
Methanol (CH3OH) is an important renewable fuel. It can be used to create a circular energy economy,
called the methanol economy, which is schematically depicted in figure 1. In the methanol economy,
CO2 is captured from the atmosphere and renewable energy is used to split water in hydrogen and
oxygen. Subsequently, methanol is formed by the hydrogenation of CO2:
CO H → CH OH H O 50kJ/mol (1)
Upon combustion in the engines of vehicles, methanol reacts with oxygen to form again water and
CO2. In this way, the loop is closed, and no excessive waste products are formed.
Currently, the methanol is produced on an
industrial scale by catalyzing the methanol
formation reaction (reaction 1) with a catalyst
that consists of copper and zinc oxide
nanoparticles. This process occurs at elevated
pressures and temperatures, making the
methanol formation process expensive. A more
performant catalyst could lower this cost, but
unfortunately, the active sites of the catalyst
and the reaction mechanism are not well
understood. As a result, it is unclear how the
process can be improved.
In this thesis work, the active sites and reaction
mechanisms of the copper‐zinc catalyst will be
investigated by using size‐selected ZnxCu1‐x clusters
of a small number of atoms as model systems for the active sites. These clusters will be produced by
the magnetron sputtering set‐up and will be soft‐landed on graphene and silica substrates. The silica
samples with deposited clusters will be placed in a Temperature Programmed Desorption (TPD) set‐
Figure 1: Schematic depiction of the
methanol economy
Master Nanoscience and Nanotechnology
up, where the samples will be exposed to H2 and CO2 gas. By gradually increasing the temperature and
by analyzing the desorbing molecules with a quadrupole mass spectrometer, the height of the reaction
barriers and the intermediate steps in the reaction of H2 and CO2 to CH3OH can be estimated. For the
graphene samples with the deposited clusters, the change in resistivity will be measured. This will give
information on the charge transfer of the clusters to the adsorbed H2 and CO2 molecules.
As a master student, you will be a member of the research team. You will produce atomic clusters,
deposit them on substrates, perform the resistance and TPD measurements, analyze the data, and
discuss and interpret the results with other group members.
Master Nanoscience and Nanotechnology
Thesis Title: Non‐linear optical properties of clusters and nanoparticles
Promotor: Prof. Ewald Janssens and copromoter Prof. Peter Lievens
Faculty/Research Group: Faculty of Science/Clusters and Laser Spectroscopy Group
Daily Supervision: Dr. Zhicheng Su
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics X
Bionanotechnology
Description:
At the nanoscale, properties of matter differ radically from those of the bulk phase. A key feature to understand this difference is the electronic structure. For example, nanoparticles (~2‐100 nm) support the collective oscillation of their valence electrons, or plasmons, which strongly enhance optical absorption at the resonance frequency.1 In clusters (< 2 nm), objects composed of a countable number of atoms, quantum confinement becomes dominant and absorption bands break‐up in discrete absorption lines.2 When matter interacts with strong light sources, such as lasers, non‐linear phenomena arise. Because of the unique electronic structures of clusters and nanoparticles, their non‐linear response is even more interesting, and strongly depends on their size and composition. This makes them very attractive for a wide range of novel applications including as optical limiting to protect eyes and delicate devices, shaping of optical pulses, and laser induced transparency.3 Clusters have also been used in nonlinear optical microscopy imaging of cancer cells.4 To fully exploit their tuning possibilities for practical applications, a profound understanding of the influence of size, composition and electronic structure on the non‐linear response of matter at the nanoscale is required.
Figure 1: (a) Scheme of the z-scan technique. (b) Gaussian beam. (c) Z-scan curve of 17 nm silver nanoparticles embedded in a glass host excitated by 480 nm laser light.4
Master Nanoscience and Nanotechnology
In this thesis work, you will investigate the non‐linear optical response of nanoclusters as a function of size and composition. The ligand protected nanoclusters will be studied in solutions. Their non‐linear optical properties will be characterized by the z‐scan technique, schematically presented in Figure 1a. Using a powerful and tunable light source, changes in light transmission are measured when a sample is moved along a Gaussian laser beam (Figure 1b). Based on transmission changes induced by the intense laser source (Figure 1c), the mechanisms responsible for the non‐linear optical absorption can be identified.5
As a master student, you will be a member of our research group. You will prepare samples, perform laser spectroscopy, analyze data, carry out simulations, and discuss and interpret the results with group members.
1. S. Link et al. J. Phys. Chem. B 103 (1999) 8410. 2. S. Lecoultre et al. J. Chem. Phys. 134 (2011) 184504. 3. N. Liaros et al. Laser Photonics Rev. 11 (2017) 1700106. 4. L. Polavarapu et al. Nanoscale 3 (2011) 429. 5. P. Ferrari et al. J. Phys. Chem. C 121 (2017) 27580.
Master Nanoscience and Nanotechnology
Thesis Title: STM study of the electronic properties of adsorbates on graphene
Promoter: Prof. Chris Van Haesendonck and co‐promoter Prof. Ewald Janssens
Faculty/Research Group: Faculty of Science / Solid State Physics and Magnetism Section
Daily Supervision: Aleksandr Seliverstov
Type of work: experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronice design
Nanodevices and nanophysics X
Bionanotechnology
Description:
In this project you will focus on the in situ growth and characterization of various
nanoporous molecular networks on single‐layer graphene surfaces. The molecular networks
can be used as templates to grow highly organized assemblies of magnetic nanoparticles via
deposition of transition metal atoms on the networks. The interaction of the molecules and
nanoparticles with the graphene will modify the graphene’s electronic properties, which is a
topic of great interest in spintronics and for biomedical applications. Irradiation with light of
different wavelengths can allow to tune the size of the pores in the network and can give
rise to light‐induced polymerization of the molecular networks that can strengthen the
networks.
The morphology and electronic properties, including in particular the influence of the
adsorbates, can be investigated with atomic resolution using scanning tunneling microscopy
and spectroscopy measurements. All sample preparation and investigation will be done in
controlled ultra‐high vacuum in order to avoid the influence of unwanted contamination on
the experimental results.
Master Nanoscience and Nanotechnology
Figure: Left: High‐resolution STM image of the honeycomb atomic lattice of a graphene film
grown on copper. Right: STM image of of a network of tetrapyridyl‐porphyrin (TPyP)
molecules on Ag(111) after exposure to a Co and Cr atom beam. The porhyrin macrocycle can
capture exactly one atom. Cr‐TPyP is found to be magnetic at low temperature, while Co‐
TPyP appears non‐magnetic.
Master Nanoscience and Nanotechnology
Thesis Title: Development of a novel method for growth of two‐dimensional materials based on ion implantation
Promotor: Prof. Lino Pereira; Dr. Harsh Bana (co‐promoter)
Faculty/Research Group: Faculty of Science, Institute for Nuclear and Radiation Physics
Daily Supervision: Pin‐Cheng Lin
Type of work: Experimental
Number of students: 2
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Two‐dimensional (2D) transition metal dichalcogenides (TMDCs), and in particular semiconducting single layer (SL) MoS2, have attracted tremendous interest in recent past. As an analogue to graphene, but with a sizeable band gap and unique layer dependent properties, it has potential applications in next generation electronics. In particular, the lack of inversion symmetry in the trigonal prismatic structure of SL MoS2 lifts the spin degeneracy at the K and ‐K valleys that provides the opportunity to exploit coupled spin and valley degrees of freedom, thus opening the possibility of conceptualizing novel spintronic and valleytronic devices. In addition to this, the growth of large area and high quality MoS2 samples with an optimal layer control is imperative for the utilization of its potential in device assembly. Different approaches used to either isolate or grow 2D MoS2 have resulted in varying quality and limited reproducibility. Even the most commonly used technique ‐ chemical vapor deposition (CVD) ‐ results in inhomogeneous mixtures of polycrystalline single and multilayer domains. Recently, physical vapor deposition (PVD) was employed to synthesize high‐quality SL MoS2 with an unprecedented crystallinity [1], although at a cost of very low growth rate and requirement of special single crystal Au (111) substrate. In this project, you will develop a novel method for large‐scale synthesis of 2D layers of MoS2 which, unlike existing approaches (chemical and physical deposition), is based on ion implantation. Ion implantation has the potential advantages of allowing to control the (uniform) coverage and number of layers, while being an industry‐compatible
technique. Mo+ and S+ ions will be implanted into metal thin films grown by molecular beam epitaxy (MBE). The growth of the metal films and the formation of
the 2D layers (upon ion implantation and thermal annealing) will be studied using complementary surface techniques: low energy electron diffraction (LEED), x‐ray photoelectron spectroscopy (XPS), x‐ray diffraction (XRD), and scanning tunneling microscopy (STM). You will also have the opportunity to participate in experimental campaigns in international synchrotron radiation facilities.
[1] H. Bana et al., 2D Materials 5, 035012 (2018)
Master Nanoscience and Nanotechnology
Thesis Title: Tuning the structural, electronic and magnetic properties of 2D materials using ultra‐low energy ion implantation
Promotor: Prof. Lino Pereira; Dr. Renan Villarreal (co‐promoter)
Faculty/Research Group: Faculty of Science, Institute for Nuclear and Radiation Physics
Daily Supervision: Pin‐Cheng Lin
Type of work: Experimental
Number of students: 2
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
The discovery of graphene opened the possibility to experimentally investigate the physics of two‐dimensional (2D) quantum matter. As this new research field continues to grow, many fundamental questions remain unanswered and many challenges towards technological application await creative solutions. This project deals with understanding how the structural, electronic and magnetic properties of 2D materials (e.g. graphene, MoS2, or h‐BN) are modified (or new properties induced) by incorporating foreign elements (e.g. noble gases for structural modification and transition metals for electronic/magnetic functionalization). These foreign elements will be introduced using ultra‐low energy (ULE) ion implantation (at energies of the order of tens of eV instead of keV as for conventional ion implantation). Our ongoing experiments show, for example, formation of nanobubbles (for implanted noble gases such as Ar and Ne) and of intercalated metal islands between graphene and the substrate (transition metals). You will use scanning tunneling microscopy (STM) and spectroscopy (STS) in ultra‐high vacuum (UHV), as well as a wide range of complementary surface characterization (e.g. x‐ray photoelectron spectroscopy). You will also have the opportunity to participate in experimental campaigns in international synchrotron radiation facilities.
(Left) Representation of the three forms of incorporation of dopant atoms (red) in a 2D material (gray) on a substrate (orange). (Center) Small‐scale atom‐resolved STM topography of Ne nanobubble exhibiting the graphene lattice and the Moiré superstructure. (Right) Large‐scale STM topography of Mn intercalated graphene exhibiting islands.
Master Nanoscience and Nanotechnology
Thesis Title: In‐situ catalytic imaging at the nanoscale of industrial catalysts
Promotor: Maarten Roeffaers
Faculty/Research Group: Bioscience engineering – Roeffaers lab (www.roeffaers‐lab.org)
Daily Supervision: dr. Alexey Kubarev
Type of work: Experimental, technique development
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Heterogeneous catalysts play an important role in the development of modern sustainable chemical
industry. In particular, the large and heavily used in industrial processes, family of heterogeneous
catalysts are zeolite catalysts. The solid crystalline porous aluminosilicate materials have a complex
structure with locally varying physical and chemical properties, which are believed to cause local
variations in catalytic activity and selectivity. As the development of novel zeolite catalysts is becoming
more and more complex, it becomes increasingly difficult to determine the contribution of every single
parameter to the overall catalytic performance.
Recently, the introduction of fluorescence microscopy in catalysis research, pioneered at KU Leuven,
has allowed to detect normally elusive variations in catalytic properties between individual zeolite
catalyst particles and within them. Such information is uniquely suited as input for the rational catalyst
optimization. Current research has covered resolving catalyst performance at the nanoscale in 2D since
the axial (z‐) resolution remained limited to the submicron scale. While limited, 3D imaging by
consecutive imaging at the different focal depths (optical slicing) is still possible, many industrial zeolite
catalysts consist of smaller nano‐sized crystals. Furthermore, consecutive optical slicing is mostly
applicable to image static systems and this approach is not able to reliably study dynamic systems,
such as catalytic reactions happening inside the pores. Other limitation which current research
approaches have is that they allow for catalytic imaging only at the room temperature and atmospheric
pressure.
The aim of this project is to adapt and apply a novel 3D multi‐plane fluorescence microscopy approach
to study acid zeolite catalysts under representative conditions (temperature and pressure). It will
enable analyzing the number and the strength of the acid sites and their reactivity.
In the course of this master thesis project you will master both fluorescence microscopy localization
techniques and catalytic reactions. For that you will identify the model catalytic systems based on acid
zeolites, which are most suitable for the testing and adaptation of 3D multi‐plane fluorescence
microscopy approach. Then you will approach the adaptations which are needed to suit the specific of
Master Nanoscience and Nanotechnology
catalytic systems in the comparison to the biological systems for which 3D multi‐plane fluorescence
microscopy was developed. At the same time, you will develop a dedicated high temperature
measurement cell enabling to work at temperature as high as 400°C and under pressure of several
bars. As an outcome of this research, the adapted 3D multi‐plane fluorescence microscopy system will
become a ready tool to add to a catalytic science toolbox of the researchers worldwide.
This research is going to be performed on the basis of the research group of Prof. Maarten Roeffaers
(Centre for Surface Chemistry and Catalysis) and in a close collaboration with in collaboration with the
IFPEn (Lyon, France) and the laboratory of Molecular Imaging and Photonics (Prof. Johan Hofkens,
Department of Chemistry). Due to the multidisciplinary nature of this project, you will be working in
the constant contact with both catalysis and spectroscopy experts. Besides wet‐lab chemical
experience (nanoparticle synthesis, catalytic performance testing) you will also get hands‐on training
on state‐of‐the‐art equipment for the characterization of these materials.
For more information about the project please contact [email protected] or
Master Nanoscience and Nanotechnology
Thesis Title: Photodeposition of allotropic silver nanocatalysts
Promotor: Maarten Roeffaers – Alexey Kubarev
Faculty/Research Group: Bioscience Engineering – Roeffaers lab (www.roeffaers‐lab.org)
Daily Supervision: Michaël Gebruers
Type of work: Experimental, catalyst development
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Platinum and palladium are widely used as catalysts in chemical industry and automotive catalysts.
However, they are rare and expensive, motivating a strong desire to find alternatives. Silver, being
cheaper and far more abundant, has been in the focus of catalytic research for some time, but has only
found success in a handful of industrial chemical processes. Recently, however, researchers have
developed silver nanoparticles with a novel type of crystalline structure which is vastly different to its
typical structure. The physical properties of these new silver nanoparticles are not yet understood,
though promise for enhanced catalytic performance and enhanced photonic properties can be
expected based on recent theoretical work and a comparison to other non‐typical noble metal
nanoparticles. The aim of this project is to develop and optimize the photodeposition of these silver
nanocatalysts on oxidic support and evaluate their catalytic performance.
In this project you will develop innovative photodeposition procedures to grow pure allotropic Ag
nanocrystals. As properties of nanocrystals strongly vary with size, shape, crystal phase, support
material, you will then optimize these synthesis protocols for the controlled production of allotropic
Ag nanocrystals of desired properties.
To assist rational optimization of the synthetic procedures you will perform thorough characterization
of the obtained materials. You will be trained to use state‐of‐the‐art catalyst characterization tools
(SEM, XRD, Raman, and others).
Finally, within the context of potential industrial‐level applications, you will use these allotropic Ag
nanocrystals for selective catalytic conversions. You will explore and exploit the unique properties of
the noble metal allotropes to generate improved selective hydrogenation catalysts.
This master thesis is going to be performed in a framework of the larger research project, which is
targeting to develop novel catalysts based on the allotropic metal nanoparticle materials. These
catalysts are going to be tested in the wide range of hydrogenation reactions. We will test α,β‐
unsaturated aldehydes with several degrees of complexity and levels of difficulty towards
hydrogenation. The unsaturated alcohol products of cinnamaldehyde and citral are used as raw
Master Nanoscience and Nanotechnology
material in the pharmaceutical and fragrance industry; the cardiovascular drug cinnarizine is derived
from cinnamyl alcohol, while nerol and geraniol derived from citral are useful for the production of
perfumes and food flavours.
This research is going to be performed on the basis of the research group of Prof. Maarten Roeffaers
(Centre for Surface Chemistry and Catalysis) and in a close collaboration with the laboratory of
Molecular Imaging and Photonics (Prof. Johan Hofkens, Department of Chemistry). Due to the
multidisciplinary nature of this project, you will be working in close contact with both catalysis and
spectroscopy experts. Besides wet‐lab chemical experience (nanoparticle synthesis, catalytic
performance testing) you will also get hands‐on training on state‐of‐the‐art equipment for the
characterization of these materials.
For more information about the project please contact [email protected] or
Master Nanoscience and Nanotechnology
Thesis Title: Rational synthesis of acid zeolite catalysts for methanol‐to‐olefin reactions
Promotor: Maarten Roeffaers
Faculty/Research Group: Bioscience engineering – Roeffaers lab (www.roeffaers‐lab.org)
Daily Supervision: Guillaume Fleury
Type of work: Laboratory (synthesis, imaging, characterization) – Data analysis
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
The petrochemical industry heavily relies on the use of efficient shape‐selective catalysts such
as the strongly acidic H‐ZSM‐5 zeolite with well‐defined molecular‐sized micropores. These
acid zeolites catalysts are involved in many industrial processes, among which the methanol‐
to‐olefin (MTO) process. The MTO process is one the most important reactions in C1
chemistry, that provides a chance for producing basic petrochemicals from non‐oil resources
such as sequestered carbon dioxide. However, the acid zeolites that can be used for such
processes cannot be synthesized directly and require supplementary post‐synthetic
modifications to fine‐tune the material properties.
This project aims at investigating the influence of selected post‐synthetic modifications of H‐
ZSM‐5 on its performance in the MTO process and the deactivation induced by the formation
of large carbonaceous compounds (coke). Examples of post‐synthetic modifications of interest
are (i) the introduction of mesopores which enhance the intraparticular diffusion and limit the
deactivation by coke formation, (ii) the introduction of metal ions such as zinc that allows to
fine‐tune acidic properties and thus selectivity, and (iii) phosphatation which increases the
stability of ZSM‐5 and reaction selectivity towards light olefins.
To this end, we propose an original multiscale approach which combines bench scale
characterization and advanced microscopic imaging. On one hand, the average
physicochemical and reactivity properties of the catalysts will be extensively characterized
using conventional techniques such as N2 physisorption, XRPD, FTIR and catalytic tests in flow
reactor. On the other hand, vibrational and fluorescence imaging methodologies uniquely
available in Leuven will be use to gain insights into key information (acid site distribution,
molecular transport and reactivity) at the single crystal level. The correlation of information
Master Nanoscience and Nanotechnology
obtained at different scales will allow to deepen the understanding of the influence of post‐
synthetic modifications on the properties of H‐ZSM‐5‐based catalysts. These new insights will
then be used to optimize the performances of H‐ZSM‐5 in the MTO process.
For more information: [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Sunny days for perovskite photocatalysis
Promotor: Maarten Roeffaers
Faculty/Research Group: Bioscience Engineering – Roeffaers lab (www.roeffaers‐lab.org)
Daily Supervision: Haowei Huang
Type of work: Lab work
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
The solar fuel generation by photocatalytic water splitting or CO2 reduction has been spotlighted recently for producing renewable clean energy alleviating our dependence on fossil fuels. Organic‐inorganic halide perovskites have emerged as a new class of revolutionary optoelectronic semiconductors promising for photocatalytic applications as they efficiently absorb visible light and convert this energy in charge carriers that can be used for oxidation and reduction reactions. A real concern however is their (long term) stability in the presence of water. Nam et al. overcame this problem by using an oversaturated aqueous HI solution. At the same time perovskite quantum dots have been applied in the photocatalytic reduction of CO2 in the presence of trace amounts of water. Here in Leuven, we have pioneered a different route of employing the intrinsic photocatalytic properties of perovskite materials. We have focused on performing challenging chemical reactions such as the selective photo‐oxidation of alcohols to carbonyl compounds as well as selective C‐H activation and conversion to alcohols and aldehydes. In these organic reactions the negative effect of water on the perovskite is excluded. In all of these processes the absolute performance of the pure perovskite photocatalyst can further be improved. During this master thesis you will be involved in the synthesis of improved perovskite photocatalysts. A first essential step is the addition of matching semiconductor materials such as TiO2, SnO2 and NiO that efficiently extract the energetic photogenerated electrons and holes from the perovskite and transfer them into the chemical conversion. In a next step the generation of photonic crystals based on this design will be explored. Photonic crystals, have a well ordered periodic structure at the nanoscale. This not only enlarges the surface area and hence contact with the chemicals, it also increases the solar light absorption efficiency. These materials will be tested for their performance in challenging organic reactions as well as for solar fuel generation from water and CO2. Skill set that will be developed during the project:
Master Nanoscience and Nanotechnology
1. Synthesis of perovskite materials and photonic crystals. 2. Detailed structural and compositional characterization (XRD, SEM, optical microscopy, UV‐
Vis spectroscopy, Raman,…). 3. Photocatalytic activity testing. 4. Broaden general knowledge on photocatalysis and sustainable chemical processes. For more information: [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Development of diagnostic tools for air‐pollution in human biopsies
Promoter: Prof. Maarten Roeffaers
Faculty/Research Group: Bioscience engineering – Roeffaers lab (www.roeffaers‐lab.org)
Daily Supervision: Imran Aslam & Hannelore Bové
Type of work: Literature study, laboratory work, Data analysis
Number of students:
Description:
Societal awareness related to climate and environmental pollution has undeniably peaked
recently. Despite the irrefutable utility of the automobile and the energy sectors in industry,
an increase in environmental pollution is observed as a detrimental consequence. Air pollution
has a huge impact on our health and the global warming of our planet, which annually costs
the global economy more than 4 trillion euros and leads to a staggering amount of premature
deaths. In its most recognizable form, air pollution occurs as black smoke consisting of nano‐
and micro‐sized soot or black carbon (BC) particles resulting from the incomplete combustion
of fuels. In addition to direct intake via breathing, BC also makes its way to soil and water,
enhancing the probability of human‐BC interaction. The behaviour of particles in air varies
from those in aqueous environment, wherein aggregation is observable, which possibly
modifies their properties. To investigate the impact of BC there is need for experimental
methods that enable direct detection of those particles in relevant samples.
Recently, detection of carbon black particles using pulsed laser illumination without the need
of adding any (fluorescent) labels has been discovered by our group in collaboration with
UHasselt. Further investigations of black carbon using optical characterization techniques
could be a key step to measure the exposure to air pollution for individual persons.
In this project, particular attention would be given to development of a device that enable the
automated detection of BC in (liquid) human biopsies such as urine and blood. The student
will be trained in optics and in different microscopy and spectroscopy techniques. This project
will provide an opportunity to work in a team with stimulating research environment on the
forefront of optical characterization.
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronice design
Nanodevices and nanophysics
Bionanotechnology X
Master Nanoscience and Nanotechnology
Interested or looking for more information? Do not hesitate to contact
Master Nanoscience and Nanotechnology
Thesis Title: Solar fuel generation using perovskite oxide based photocatalysts
Promotor: Maarten Roeffaers
Faculty/Research Group: Bioscience engineering – Roeffaers lab (www.roeffaers‐lab.org)
Daily Supervision: Lexie Cheng
Type of work: Lab work
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
The widespread use of the freely available solar energy is a plausible answer to the strongly increasing
energy demands. Chemical fuels (H2, CH4, CH3OH, etc.) generated by photocatalytic water splitting or
CO2 reduction, have emerged as a steppingstone for the production of alternative fuels.
Complex oxides, rather than the widely used oxides such as ZnO and TiO2, have recently attracted a lot
of research attention because of their tunable physical and chemical properties. Among them,
perovskite oxides of general formula ABO3 have been exploited as photocatalysts for water splitting
reactions, CO2 reduction and the photo‐degradation of organic pollutants in wastewater and in
gaseous phase.
Typical perovskite oxides have a flexible composition and cubic crystal structure, where the larger A‐
site cations occupying the corners of the cube and the smaller B‐site cations located at the center of
the cube and octahedrally coordinated by the face‐centered oxygen atoms. Both alkali metals (Li, Na,
K, etc.) and alkaline‐earth metals (Mg, Ca, Ba, etc.) can occupy the A‐sites, whereas the B‐site can host
a wide range of transition metals (Ti, Nb, Fe, etc.). Such perovskite oxides are good candidates for
doping and morphology control.
The main goal of this thesis project is to generate and characterize Ti‐based perovskite oxide
composites for photocatalytic generation of renewable fuels from CO2 and H2O. Composites will be
obtained by functionalizing perovskite oxides with various types of semiconductors, in order to further
improve its photocatalytic performance, keeping an eye on the stability and production costs.
The project comprises a two month stay at CIDETEQ (Mexico), working with Dr. Eduardo Coutino,
where you will develop synthetic protocols and conduct preliminary characterization tests by
electrochemical techniques, XRD, SEM/EDS, XPS, among others. In Leuven, you will get in touch with
fancy microscopy techniques and have the opportunity to perform SEM tests by yourself. You will also
learn the basic sample characterization techniques including XRD, UV‐Vis spectroscopy,
Master Nanoscience and Nanotechnology
Thesis Title: Synthesis of polymorphic gold nanoparticles for improved hydrogenation catalysis
Promotor: Maarten Roeffaers & Alexey Kubarev
Faculty/Research Group: Bioscience Engineering – Roeffaers lab
Daily Supervision: Michaël Gebruers
Type of work: Experimental, catalyst development
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
For long, polymorphism of different chemical elements has been known. The polymorphs of
carbon are the most commonly known, of which everyone knows diamond, graphite, carbon
nanotubes etc. For long, it has been thought that noble metals like gold, silver and copper do
not display polymorphism and are only encountered as their stable face centered cubic (fcc)
structure. It has recently been discovered that nanoparticles of these metals can also be
synthesized with different crystal structures. One of these structures, the hexagonal close
packed (hcp) structure, can be synthesized, and is stable, under ambient conditions. The exact
properties of these polymorphic noble metal nanoparticles are however not fully investigated
since these unusual structures, as for now, mostly occur mixed with the original fcc structure.
Therefore, optimization of the synthetic protocols is necessary before being fully capable of
studying their properties. It has already been shown that these polymorphs possess vastly
different properties as compared to their fcc counterparts, which makes them interesting in a
broad range of different applications.
The focus of this project lies on the development, characterization and catalytic testing of
polymorphic gold nanoparticles. The synthesis of phase pure hcp Au nanoparticles will be
optimized by exploiting various different wet chemical methods, ranging from colloidal growth
to electro‐ and photo deposition methods. After synthesis, the shape and structure of these
newly formed materials will be evaluated by various different state‐of‐the‐art characterization
techniques, like electron microscopy (EM) and X‐ray diffraction (XRD). Further
characterization of the novel properties will be performed by all‐optical characterization tools
like Raman and UV‐VIS spectroscopy.
Master Nanoscience and Nanotechnology
Gold nanoparticles are, unlike bulk gold, catalytically active, they are however less suited for
catalysts in hydrogenation reactions due to their poor catalytic activity towards hydrogen. On
the other hand, the catalytic properties of the polymorphic gold nanoparticles are still not
thoroughly investigated and could lead to improved catalytic activity due to their different
crystal structure. One of the main objectives of this project is to investigate the catalytic
properties of hcp Au nanoparticles in several hydrogenation reactions and to compare their
catalytic properties to those of regular phased gold nanoparticles. An interesting reaction to
benchmark the catalytic activity of these polymorphic gold nanoparticles is the selective
hydrogenation of unsaturated aldehydes to unsaturated alcohols. An example of this is the
hydrogenation of cinnamaldehyde to cinnamyl alcohol. In this type of reactions the carbonyl
group is selectively hydrogenated, while leaving the unsaturated carbon bond unaffected.
The skills you will gain during this master thesis include (1) Experience in wet chemical
methods for the synthesis of novel polymorphic nanoparticles; (2) Hands‐on experience with
materials characterization by electron microscopy, X‐ray diffraction and various spectroscopic
tools; (3) Insights in various catalytic pathways of heterogeneous catalysis with noble metal
catalysts; (4) Data interpretation and independent research.
Master Nanoscience and Nanotechnology
photoluminescence measurements, N2 adsorption and desorption measurements, among others and
of course run the photocatalytic test reactions.
Need more information?
Contact Maarten Roeffaers ([email protected]),
Lexie ([email protected])
Master Nanoscience and Nanotechnology
Thesis Title: Artificial nitrogen fixation: ammonia electrosynthesis on single‐atom catalysts
Promotor: Maarten Roeffaers – Johan Hofkens
Faculty/Research Group: Bioscience Engineering ‐ Roeffaers Lab (www.roeffaers‐lab.org)
Daily Supervision: Yansong Zhou & Michiel Deras
Type of work: Lab work
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
With an industrial production exceeding 150 million tonnes annually, ammonia is the second
most produced chemical world‐wide. Ammonia plays an essential role in the global economy
as precursor for fertilizers and other chemicals and it is also being considered as an
alternative fuel for the future. At this moment, there are no viable alternatives to the
famous Haber‐Bosch process operating at high temperatures (∼700 K) and pressures (∼100 atm), which accounts for ∼1% of the global energy consumption and generates more than
300 million metric tons of fossil fuel‐derived CO2 annually. Fortunately, electrochemical
ammonia synthesis can provide an alternative pathway to the Haber−Bosch process, since
the electrochemical nitrogen reduction reaction (NRR) enables the decentralized production
of NH3 at ambient conditions from N2, H2O and electricity.
Most of the current electrocatalysts suffer from low activity and poor selectivity due to the
domination of the hydrogen evolution side reaction. Noble metals such as Au and Ru show
high selectivity for NH3 electrosynthesis, but their scarce availability and high cost seriously
restricts their widespread applications. Therefore, the development of low‐cost but highly
active electrocatalysts for NH3 production is desirable. To maintain the high activity while
reducing the total amount of noble metals necessary we will explore the use of single‐atom
catalysts. Anchoring of active metal single‐atoms on the surface of carbon‐based materials
has been demonstrated to be effective to achieve the goal. For instance, Ru single‐atoms
supported on N‐doped carbon drives the NH3 electrosynthesis with a record‐high yield rate
of 120.9 μgmgcat.‐1h‐1 with a low applied potential.
In this master thesis you will focus on the synthesis of single‐atom based electrocatalysts
and theirapplication in the NH3 electrosynthesis at ambient conditions. On one hand, you
Master Nanoscience and Nanotechnology
will prepare novel catalysts based on innovative porous support materials such as MOFs via
in situ photo‐ and electrodeposition etc. Next the materials will be systematically tested for
their NH3 electrosynthesis performance. Rationalization of the catalysts performance will be
based on detailed physiochemical characterization. Eventually, insight about the single‐atom
based electrocatalysts and NRR process will be achieved. During this thesis you have the
opportunity to work on an interdisciplinary topic, building new competences ranging from
materials synthesis, characterization and performance testing.
For more information: [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Nanolayer stacks for passive temperature gradient
Promotor: Michael Kraft
Faculty/Research Group: Micas/ESAT
Daily Supervision: Frederik Ceyssens
Type of work: Theory, Nanofabrication
Number of students: 1‐2
Specialisation Option x
Nanomaterials and nanochemistry x
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology x
Description:
In a recent TED talk [1] nanolayer stacks were put forward as a novel way to achieve passive cooling.
This is based on infrared radiation with wavelengths between 8‐12um, as in this window the earth’s
atmosphere is transparent and thus the nanolayers ‘see’ the coldness of space [2]. Therefore, the
surface covered by the nanolayers becomes cooler than its surrounding. So far, this effect has been
primarily proposed for larger surfaces, e.g. for cooling of buildings.
The Master project will explore whether similar nanolayers can be
used to produce a temperature gradient across a chip. The cold
part of the chip can then be linked through a thermoelectric
junction and, by exploiting the Seebeck effect, a voltage can be
generated. This would represent an entirely novel type of energy
harvester at the microscale. The project will investigate whether in
this way an integrated circuit or sensor could be powered.
Work will include a theoretical study of achievable power levels
and fabrication of such a nanolayer stack in the KU Leuven
Nanocenter Cleanroom. Some preliminary testing is also
envisaged.
[1]
https://www.ted.com/talks/aaswath_raman_how_we_can_turn_the_cold_of_outer_space_into_a_r
enewable_resource
[2] S. Fan and A. Raman, “Metamaterials for radiative sky cooling,” National Science Review, vol. 5,
no. 2, pp. 132–133, Mar. 2018.
Nanolayer stack for passive
cooling. From [2]
Master Nanoscience and Nanotechnology
Thesis Title: Understanding and modelling the electronic transport properties of Poly‐Si Passivating Contacts by Low‐Temperature I‐V Characterization
Promotor: Prof. Dr. Jef Poortmans
Faculty/Research Group: Silicon PV Department ‐ Imec
Daily Supervision: Meriç Fırat ([email protected])
Type of work: Master’s Thesis – for minimum 9, ideally 12 months
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics X
Bionanotechnology
Description:
With the challenges posed by the global warming and an ever‐increasing energy demand of
the world’s population, transitioning to energy harvesting from renewable sources is an
essential step to be taken for a sustainable future. While photovoltaics (PV) show great
promise for facilitating this transition with its steadily increasing installed power generation
capacity and by allowing an already low levelized‐cost‐of‐electricity, further price reduction
is necessary to raise the share of PV in the global energy mix and to replace the fossil fuels.
One of the most viable means for such price reduction is improving the power conversion
efficiency of the state‐of‐the‐art solar cells produced by the PV industry. With its expertise in
PV and cutting‐edge infrastructure, Imec’s PV department works towards developing highly‐
efficient solar cells fabricated by methods feasible for the PV industry.
This master’s thesis is concerned with investigating polycrystalline silicon (poly‐Si)
passivating contacts for solar cells, a contact structure that recently gained a lot of attention
and is poised to be included in industrial solar cells in the near future. These contacts
consisting of a stack of an ultrathin silicon oxide (SiOx) and heavily‐doped poly‐Si have been
shown to minimize the recombination of minority carriers at the metal‐silicon interface after
their generation by the absorption of sunlight. Simultaneously, these contacts allow an
uninhibited extraction of the majority carriers to an external circuit for electricity generation.
Thus, these contacts reduce both the recombination and resistive losses, thereby yielding
higher solar cell efficiencies.
Even though very high efficiencies up to 26.1% were demonstrated with cells incorporating
poly‐Si contacts, the mechanism of the excellent passivation provided by these or specifically
of the carrier transport through these structures are still disputed. There is, in fact, still no
Master Nanoscience and Nanotechnology
consensus whether current flow through the pinholes in the SiOx or tunneling through the
SiOx layer is dominant. During this thesis, samples with a metal (rear)/c‐Si
(substrate)/SiOx/poly‐Si/metal (front) stack will be fabricated in Imec’s clean room, the
temperature‐dependent dark I‐V characteristics of the samples will be measured at a range
of very low to higher temperatures using equipment at Imec. During the analysis, the I‐V
characteristics will be investigated to find out if features characteristic to tunneling are
present. A similar study to determine the specific contact resistance as a function of
temperature will follow, based on temperature‐dependent transfer length measurements,
on samples featuring contact pads of different areas. All in all, both studies will provide
fundamental insight into the current flow through poly‐Si passivating contacts.
The student is required to have a solid background in materials and is expected to be
motivated in semiconductor device characterization. During this thesis, the student will
acquire fundamental understanding about silicon solar cells and poly‐Si passivating contacts
by a literature study. Moreover, the student will gain valuable experiences in device
characterization and fabrication and thus be well‐positioned for their future endeavors.
Master Nanoscience and Nanotechnology
Thesis Title: Nano‐scale Field Effect Transistor for Biomolecule Sensing
Promotor: Pol Van Dorpe
Faculty/Research Group: imec / life science technologies
Daily Supervision: Koen Martens
Type of work: Devices, Metrology and Characterization, Surface Chemistry
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry v
Nanoelectronic design
Nanodevices and nanophysics v
Bionanotechnology v
Description:
With significant progress in CMOS process technology, we are now able to manufacture nano‐scale
Field‐Effect Transistors (FETs) down to 7 nm. This has opened doors not just for better computing but
also for areas like bio‐sensing for proteins and DNA.
An important innovation lies in large‐scale integration of nanoscale transistors for analyzing biological
systems, which could provide for massive parallelization and deliver a more complete view of a
biological system at a reasonable cost. However, there are several challenges open that still need to
be tackled to achieve such a large‐scale bio‐electronic sensor chip. In this master thesis, the student
will investigate nano‐sized field effect transistors for their ability to sense bio‐molecules in electrolytic
environments and try to understand the effect of surface functionalization on bio‐sensing.
In order to capture the target biomolecules on the FET, the surface
of the transistor needs to be functionalized with special molecules
that can bind with the target biomolecules floating around in the
electrolyte solution. This surface functionalization can influence the
bio‐sensing ability of the FET, which is important if we want to
achieve a low Limit of Detection (LOD). During the master thesis,
these sensors will be characterized in detail to understand their
behavior for different types and methods of surface
functionalization. The student will also work on analyzing the noise
contribution of the functionalization and the effect of the
electrolytic environment. The thesis will involve working in the
cleanroom, bio‐chemistry labs and on electrical characterization
tools. Molecular sensitivity and the potential of obtaining FET‐based
molecular sensors will be investigated.
Fig.1 : Klenow fragment of a
DNA polymerase attached to a
single‐walled carbon
nanotube FET device. (Olsen
et al. JACS 2013, 135(21)).
Master Nanoscience and Nanotechnology
Thesis Title: Noise optimized resonant accelerometer based on frequency dependent elasto-damping components.
Promotor: Prof. Michael Kraft
Faculty/Research Group: ESAT-MICAS
Daily Supervision: Michiel Gidts
Type of work: Design, Simulation, Modelling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology
Description:
A significant part of all sensors currently used in industrial, medical, consumer and scientific
equipment is based on Micro-Electro-Mechanical System (MEMS) technology. In MEMS
fabrication, thousands of sensors or actuators are manufactured in one go on a silicon wafer,
using processes similar to those used in integrated circuit (IC) fabrication of electronic chips.
One type of these MEMS sensor is a resonant accelerometer. These sensor are based on
transferring the proof-mass inertial force to a force on the resonant beams. This force will
cause an acceleration-dependent shift in resonant frequency of these beams. The effect of
the damping force by the surrounding air of this movable structure can be neglected for a
machine of conventional dimensions, but plays an important role with micro-machines and
the significance of the effect becomes greater as micro-machined structures decrease in size.
Additionally, the sensitivity of these accelerometers is generally limited by the thermo-
mechanical noise (Brownian noise) generated by the interaction of the movable structure.
Most designs analyzes the thermo-mechanical noise in microstructures based on the
assumption of a constant, frequency-independent, damping coefficient. As a result, the
equivalent input thermo-mechanical noise has a white spectrum. While this might be a
correct assumption for low frequencies, the assumption fails to consider the more complex
behavior of gas damping as the operating frequency increases, which is the case for resonant
mems devices. The interaction between movable mass and surrounding air generates both
elastic and damping force components, both dependent on frequency (and on the amplitude
of motion for large displacements). This complex behavior shapes the resulting thermo-
mechanical noise. The frequency dependent factor is often neglected in the overall noise
Master Nanoscience and Nanotechnology
analysis. However, by taking into account this factor in the signal-to-noise ratio optimization
process a higher signal-to-noise ratio is to be expected.
The goal of this thesis is to design a low noise, highly sensitive resonant accelerometer based
on a noise analysis that takes into account the frequency dependent elasto-damping
behavior of the air-structure interaction.
The thesis will start with an extensive literature study followed by modelling, finite element
simulations and design optimalizations.
Master Nanoscience and Nanotechnology
Thesis Title: Development of 2D microchip electrophoresis
Promotor: Liesbet Lagae
Faculty/Research Group: IMEC
Daily Supervision: Camila D. M. Campos
Type of work: Mater thesis
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
Nano-electrophoresis is expected to allow the detection of minute amounts of DNA (single-
molecule analysis), leading the way to improved diagnostics and forensic sciences, among
others. However, scaling down traditional electrophoretic techniques is hampered by current
fabrication technologies, that do not deliver the required resolution and control. In this project
the student will use IMEC nanofabrication capabilities to develop new designs for configurable
2D microchip electrophoresis in micro and nanoscale. The precise control resulting from it will
allow more thorough analysis of complex samples. The structures will be fabricated using
photolithography. The developed prototype will be compared with benchmark DNA analysis
methods. The work will demand 20% time for electrical-fluidic Multiphysics, 70% lab work to
fabricate and test the device and 10% for literature study and thesis writing. A multidisciplinary
team at the Life Science Technology department at IMEC will support the thesis.
Skills to be learned: photolithography, microdevice metrology, capillary electrophoresis,
biological sample preparation, fluorescence detection, COMSOL simulation.
Master Nanoscience and Nanotechnology
Thesis Title: Development of a fluorescence activated droplet sorting with dielectrophoretic actuation
Promotor: Liesbet Lagae
Faculty/Research Group: IMEC
Daily Supervision: Camila D. M. Campos
Type of work: Mater thesis
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
Single-cell analysis benefits areas as diverse as medicine and food industry, by guiding
treatment of heterogeneous tumors or improving bio-processes. However, exploring its full
potential requires developing sensitive detection and manipulation methods. Droplets
microfluidics (digital fluidics) contribute to these efforts by allowing researchers to digitalize
samples and analyze a large number of cells independently in a short-time.
The master’s project will comprise the development of a droplet sorter activated by
dielectrophoresis (DEP). Unlike the designs currently available in the literature, the DEP
electrodes and the microfluidic structures will be fabricated in-situ by photolithography. This
could lead to stronger DEP force with lower required electric potential, reducing risks to
damage samples. It will allow higher throughput, decreasing analysis time and cost.
The work will demand 40% time for electrical-fluidic Multiphysics modelling in COMSOL, 50%
for lab work for device fabrication and testing and 10% for literature study and thesis writing.
A multidisciplinary team at the Life Science Technology department at imec will support the
thesis.
Skills to be learned: photolithography, microdevice metrology, DEP, COMSOL simulation.
Master Nanoscience and Nanotechnology
Thesis Title: Polarization induced effects in wide band gap III‐nitride/Si heterojunctions: An experimental study at nanoscale
Promotor: Prof. dr. Wilfried Vandervorst
Faculty/Research Group: Materials and Components Analysis department at Imec
Daily Supervision: dr. Albert Minj, dr. Kristof Paredis
Type of work: 80% experimental, 20% theory
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Due to their superior properties including wide bandgap, high electron mobility, resistance to thermal
degradation and high breakdown field, III‐nitrides are well suited for high power and high frequency
applications. It is of high interest for IMEC and its industrial collaborators to develop CMOS technology
compatible (Al,Ga)N‐based heterostructures‐on‐Si RF and power devices. However, there is a growing concern
related to their growth, device reliability and even to their characterization. Owing to the inefficient activation
of the dopants, especially of the p‐type dopant Mg, and as well as the presence of interface defects arising
from lattice mismatch, determination of free carrier concentration, polarization‐induced two‐dimensional
electron gas density and their distribution and their effect on built‐in fields are ongoing major issues. As the
heterostructure layers go down to few nanometers to 10s of nanometers, it has become essential to be able to
probe local electrical properties at the nanoscale. At IMEC with immense expertise in quantitative dopant
concentration characterization in Si and III‐Vs using Scanning spreading resistance microscopy, the objective of
the candidate will be to implement this technique on (p‐doped and n‐doped) AlGaN based heterostructures
and to analyze the effect of local fluctuation in surface barrier height and threading dislocations on current
transport. With proper approximation of the surface states, in correlation with direct estimation of the carrier
concentration by dC/dV and surface potential across the heterostructures, the three kind of analysis will be
combined to reveal the real band structure of the heterostructure.
The goal of this thesis will be to identify and gain understanding into the primary impediments to reliable
scanning probe microscopy (SPM) characterization of AlGaN‐based heterostructures. SPM measurements will
be correlated with other macroscopic electrical characterization techniques including Current‐Voltage and
Capacitance‐Voltage measurements. The student will be trained in advanced electrical SPM techniques, Kelvin
Probe Force Microscopy (KPFM), Conductive Atomic Force Microscopy (C‐AFM) and Scanning capacitance
microscopy (SCM) and will be expected to use them extensively throughout the thesis. In case of necessity for
the deeper understanding of the results, complimentary material characterization analysis such as
Transmission electron microscopy (TEM) and X‐ray photoelectron microscopy (XPS) analysis can be availed.
With the guidance of his supervisor, data interpretation and analysis will also be a major part of the thesis. As
such, the student will be guided in design of the experiment and understanding the resulting experimental
data. A good command of English is required.
Master Nanoscience and Nanotechnology
Thesis Title: Electrical SPM for the analysis of magnetic memory.
Promotor: Prof. dr. Wilfried Vandervorst
Faculty/Research Group: Materials and Components Analysis at Imec
Daily Supervision: dr. Umberto Celano, dr. Kristof Paredis
Type of work: 80% experimental, 20% theory
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Spin‐transfer torque magnetic resistive memory (STT‐MRAM), is emerging as a potential
replacement for Flash and/or DRAM applications, as they exhibit non‐volatility, high‐speed,
low‐voltage switching and almost unlimited read/write endurance. However, significant
challenges toward the widespread commercialization of this technology are still in the area
of process scaling, materials integration and physical operative mechanisms. In this context,
electrical scanning probe microscopy (SPM) techniques such as (C‐AFM, KPFM and SSRM)
represent invaluable tools for the analysis of post‐patterning treatment and material
selection in magnetic tunnel junctions, as they allow the probing of nanoscaled features. This
thesis fits into the characterization framework for STT‐MRAM using a set of electrical SPM
techniques. You will be trained in the use of the tool and you will intensively use it focusing
on device characterization methodologies. During the period of the thesis the student will
focus particularly on material characterization and AFM‐analysis. The data analysis and
interpretation will cover an important part of the work; you will apply statistical principles in
data collection and will be asked to rule out your results. You will work in an international
R&D team; a good command of English language is required.
Master Nanoscience and Nanotechnology
Thesis Title: Electrical Characterization of Three‐Dimensional Devices Using Scanning Probe Microscopy
Promotor: Prof. dr. Wilfried Vandervorst
Faculty/Research Group: Materials and Components Analysis at Imec
Daily Supervision: drs. Komal Pandey, dr. Kristof Paredis
Type of work: 60% epxerimental, 20% simulations, 20% theory
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
To overcome the limitations of short channel effects and leakage current associated with scaling of
planar MOSFETs, the Integrated Circuit industry has adopted novel three‐dimensional device
architectures such as FinFETs and Gate‐All‐Around transistors. The knowledge of the active dopant
distribution within these devices is key for the development of the doping processes and
consequently, for ensuring high device performance. It has been shown in the past that Scanning
Spreading Resistance Microscopy (SSRM) – an electrical mode of Atomic Force Microscopy (AFM) ‐
has the capability to measure 3D carrier profiles quantitatively with sub‐nm resolution (!) using the
scalpel approach where nanometer thick material is removed layer‐by‐layer while 2D resistance
scans are taken simultaneously. This provides extremely valuable insight into the electronic structure
at the heart of devices, impossible to obtain with any other technique. However, when targeting
sub‐10nm 3D devices the physics enabling this superior resolution is being challenged by the limited
amount of material (10 nm = approx. 40 atoms thick) and the presence of multiple interfaces. In this
project, we explore the probe‐sample interaction for ultrathin layers and the subsequent current
flow both from an experimental and theoretical point of view. During the thesis, the student will
independently design and perform dedicated experiments on 3D nano‐structures. In parallel,
simulations will be run to isolate the impact of various physical phenomena, such as the effect of
material thickness, interfaces, changes in mechanical properties etc. Consequently, the student will
both be trained in AFM (with a special focus on SSRM) and simulations, and will be taught to critically
analyze, interpret and correlate data. Furthermore, the student will be a part of a multidisciplinary
R&D team and will be guided by experts in the field. Some basic understanding of semiconductor
(device) (MOSFETs/FinFETs) physics is a plus, but a proactive can‐do attitude is even more important.
A good command in English is required. At the end of this thesis, the student will leave with
knowledge on semiconductor physics and skills on the characterization of state‐of‐the‐art devices
combined with an immersion into the high‐tech research environment of imec.
Master Nanoscience and Nanotechnology
Thesis Title: Selective p+ emitter formation for n‐type silicon solar cells
Promotor: Jef Poortmans
Faculty/Research Group: Silicon PV
Daily Supervision: Sukhvinder Singh
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Presently, the majority of commercially available PV modules are made using front junction silicon
solar cells based on p‐type Czochralski (Cz) substrate with full aluminum BSF and more recently with
local rear contacts (PERC – Passivated Emitter and Rear Contact ‐ cells). This transition is propelled by
the need to reduce metallization related recombination and optical losses on the rear side of the cell
thereby improving the efficiency. In order to reduce recombination losses from Ag front
metallization, various methods to form phosphorus based selective emitter have been implemented
in p‐type solar cells. These selective emitter technologies feature heavy phosphorus doping under
screen printed contacts and shallow doping elsewhere. These offer the advantages of having both a
low specific contact resistivity and low recombinations for passivated surface and metal contacts
without compromise.
The next technology transition towards cells based on n‐type substrates is starting. Solar cells based
on n‐type substrates offer advantages such as absence of light induced degradation, higher tolerance
to many transition metal impurities (including Fe, Ti, V, Cr) and much lower sensitivity to the
presence of the laser induced dislocations. The share of n‐type silicon based solar cells is expected to
increase in the coming decade according to the International Technology Roadmap for photovoltaics
(ITRPV). For front junction n‐PERT solar cells, a cost effective and simple selective emitter technology
for boron doping needs to be developed. A new idea (currently under study for a potential patent
application) will be investigated to obtain both a highly doped p++ region in the contact area and a
more lowly doped p+ region in the passivated area in a simple, industrially applicable manner.
Various parameters of these 2 different regions will be characterized. These include:
1. Sheet resistance of highly and lowly doped boron regions 2. Recombinations of passivated regions characterized by dark saturation current density, 3. Contact resistivity to highly doped boron regions 4. Recombinations of metallized regions characterized by dark saturation current density
Master Nanoscience and Nanotechnology
Thesis Title: Development of poly silicon based passivating contacts using sputtering
Promotor: Jef Poortmans
Faculty/Research Group: Silicon PV
Daily Supervision: Sukhvinder Singh
Type of work: Experimental
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Silicon based solar technology has made tremendous improvements in the last decade. In order to
boost the efficiency of silicon solar cells to come closer to the theoretical efficiency limit, carrier
recombination specifically at the metal contacts needs to be further reduced. To tackle this
challenge, contact passivating structures based on polycrystalline silicon (poly‐Si) layers have been
investigated in recent years. The majority of the poly‐Si based passivating contacts have been
achieved by chemical vapor deposition techniques like LPCVD or PECVD (Low Pressure or Plasma
Enhanced Chemical Vapor Deposition). These techniques involve the use of hazardous materials (e.g.
silane, phosphine etc.) and high temperature processing. Further, these poly‐Si layers have been
successfully implemented on the rear side of the solar cells, although their implementation on the
front side of the cells is more challenging. This is due to the significant light absorption by these
layers, reducing the available light for carrier generation in the active part of the solar cell. In order to
use these layers on the front side, the patterning of poly‐Si layers is required, such that these layers
remain only under the metal contacts. This requires ex‐situ processing of masking and etching of
unwanted poly‐Si regions.
Very recently polysilicon layers deposited by physical vapor deposition methods with similar
passivation quality (to CVD methods) haven been proposed. These methods include magnetron
sputtering or electron‐beam evaporation. Using these techniques, poly‐Si layers could be deposited
at room temperature without the use of any harmful precursor. Doped (n or p‐type) poly‐Si layers
could be deposited by means of a suitably doped silicon target without the need of
phosphine/diborane gases or ex‐situ doping. In addition, sputtering allows single‐side poly‐Si
deposition unlike LPCVD techniques. Magnetron sputtering is especially attractive for various reasons
including a) the possibility to deposit layers over a large area with very good uniformity, b) conformal
deposition over rough surfaces, c) maintaining the composition of the target material in the
deposited layer d) the possibility to use low cost polycrystalline target material, and e) high
throughput for thin layers. Importantly, the use of room temperature and directional deposition for
Master Nanoscience and Nanotechnology
sputtering enables the use of an in situ mask for patterned deposition. This could enable the easy
implementation of polysilicon layer at the front side of the solar cell without ex‐situ pattering steps.
Such patterned deposition of poly‐Si by PVD methods has not been demonstrated yet.
In order to study the viability of sputtering of poly silicon for the application of passivating
contacts, the following issues need to investigated in this thesis:
1) Crystalline quality of sputtered layers in terms of amorphous content, crystallite size and defects.
2) Doping characterization of polysilicon layers (in‐situ and ex situ) by means of sheet resistance, SIMS or ECV
3) Surface and contact passivation by doped poly‐Si layers. 4) Patterning of the layers by masking and assessment of the contamination coming from
the deposition process of patterned layers.
Master Nanoscience and Nanotechnology
Thesis Title: Atomic layer deposition of Ge chalcogenide layers for 3D‐RRAM applications
Promotor: Annelies Delabie
Faculty/Research Group: Science//Chemistry/imec
Daily Supervision: Matty Caymax, Ali Haider, Annelies Delabie
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
Explore the growth chemistry of Germanium chalcogenide layers deposited by atomic layer deposition for application in 3D resistive random access memory.
Growth in cutting edge technologies including cloud computing and smart devices among others is
the major drive in finding reliable and scalable data storage solutions. The 3D memory technology is
promising to fulfil the storage needs by cramming more and more data in same physical space. One
of today's most promising alternative concepts for scaled memory is RRAM (Resistive RAM) which is
based on the electronic switching of a resistor element. The combination of this memory element
with a diode‐type selector device results in a highly scalable RRAM memory cell. The integration of
these memory cells in 3D cross‐bar arrays can only be accomplished with a deposition technique that
insures excellent step coverage in 3D device structures, i.e. Atomic Layer Deposition (ALD).
In this master’s thesis, you will focus on Germanium chalcogenide layers through atomic layer
deposition (ALD) for application in 3D RRAM. The scientific goal will be to gain insight in surface
chemistry and nucleation mechanisms of the Germanium chalcogenide growth processes so that it
can be used to establish a precise control on composition, uniformity, and conformality of grown
layers on both planar and 3D substrates. The experimental part will include a full parametrized study
of the deposition process conditions (temperature, precursor doses, exposure times), aided by in‐situ
mass spectrometry. Ex‐situ (TOF‐SIMS, AFM, SEM, RBS) and in‐situ characterization (mass
spectrometer) techniques will be used to understand the nucleation mechanisms and surface
chemistry at play during the deposition. The aim for getting such insights is to identify the key
process parameters for optimizing the germanium chalcogenide ALD processes towards a controlled
composition, higher conformality in 3D substrates, and controlled amorphous stability.
Master Nanoscience and Nanotechnology
Thesis Title: SIS on ultra‐thin PMMA for high‐k deposition on 2D materials and selective high‐k plasma etch and soft‐landing onto 2D materials
Promotor: Annelies Delabie
Faculty/Research Group: Science/Chemistry/imec
Daily Supervision: Jean‐Francois de Marneffe
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics
Bionanotechnology
Description:
The main objective of the internship is to study the synthesis of 3‐4nm high‐k dielectric (corresponding to 1 nm EOT) using a sacrificial template, based on porous polymer (polymethylmetacrylate/PMMA or polyphtalaldehyde/PPA) combined with sequential infi
The proposed work‐package aims at high‐k processing for 2D materials applications. The main
objective of the internship is to study the synthesis of 3‐4nm high‐k dielectric (corresponding to 1 nm
EOT) using a sacrificial template, based on porous polymer (polymethylmetacrylate/PMMA or
polyphtalaldehyde/PPA) combined with sequential infiltration synthesis (SIS). The principle will use
the following sequence: first, spin‐coat 3‐5 nm of polymer; second, infiltrate the polymer with a
specific Al(CH3)3/H2O ALD sequence forming Al2O3 within the bulk of the polymer; third, perform
some post‐treatments in order to remove the residual carbon from the formed composite film. Post‐
treatments will be based on annealing, UV‐cure, and/or downstream plasma processing. A second
objective is to support some technological etch process aiming at etching high‐k (10nm ZrO2)
stopping on a sub‐nm SiO2 on top of WS2, using a BCl3/O2 cyclic process in a state‐of‐the art 300mm
plasma etch chamber. The goal of the process is to remove the whole dielectric stack (ZrO2 + SiO2)
with minimal damage to the WS2. Screening of plasma chemistries (BCl3/O2 ratio, potential
additives), plasma physical parameters (pressure, power, bias) and sequences (plasma cycles) will be
investigated; the etch and selectivity mechanisms will be studied using ellipsometry, AFM, Raman
and photoluminescence spectroscopy.
Master Nanoscience and Nanotechnology
Thesis Title: High Frequency Magnetic Materials for RF and Power Inductor Applications
Promotor: Annelies Delabie; Ingrid De Wolf
Faculty/Research Group: Science/Chemistry Engineering Science/MTM/imec
Daily Supervision: Christoph Adelmann, Xiao Sun, Jean‐Philippe Soulie, Diogo Costa
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry X
Nanoelectronic design
Nanodevices and nanophysics X
Bionanotechnology
Description:
Design novel magnetic materials that enhance the operation frequency and the performance of inductors
The increasing trend of mobile devices and the Internet of Things is driving the miniaturization of
various functionalities and circuitry on chips including bulky passive elements such as inductors that
are conventionally integrated off‐chip. High inductance density is necessary for on‐chip or in‐package
inductors to reduce the chip area and minimize the package footprint. The integration of different
magnetic materials into on‐chip or in‐package inductors has been investigated recently for RF and/or
power applications. Conventionally, ferrites are used as magnetic core materials for low frequency
application due to their high electrical resistivity and low eddy current losses. However, at high
frequencies, above typically a few 10 MHz, ferrites show large magnetic losses due to ferromagnetic
resonance as a result of their comparatively their low saturation magnetization. Materials such as
CoFe can work at high frequencies but are highly conductive, which leads to important eddy current
losses in the RF frequency range.
The goal of the internship or thesis is to design novel thin film magnetic materials for RF inductors.
The targeted frequency range will be above 100 MHz (for power applications) up to GHz (for
microwave inductors). The task will be to co‐optimize magnetic properties (permeability,
ferromagnetic resonance frequency) and resistivity to obtain magnetic materials and thin films with
suitable properties. The starting point will be conventional soft magnetic materials with high
saturation magnetization. In a next step, combinatorial research will be employed to study the effect
of compositional variation and the incorporation of additional elements. This will be done by
combining in depth magnetic (including ferromagnetic resonance), electric, as well as structural
characterization of the resulting materials. The work will be performed in collaboration with analog
device design research at imec, providing both target specifications as well as an assessment of the
optimized materials properties from an inductor point of view.
Master Nanoscience and Nanotechnology
The student will learn hands‐on experience in a broad range of materials characterization techniques
and gain insight on the interplay between magnetic, electric, and structural materials properties. She
or he should have a strong interest in materials science and thin film characterization as well as in
leading edge research on magnetism and magnetic materials.
Master Nanoscience and Nanotechnology
Thesis Title: Improving solder wettability of microbumps for 3D stacking
Promotor: Ingrid De Wolf
Faculty/Research Group: Engineering science/MTM/imec
Daily Supervision: Jaber Derakhshandeh
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Preventing Cu oxidation and improving solder wetting during 3D stacking will result in good electrical connection and good reliability data for 3D stacked devices. The aim of this project is to look for thin layers which can improve the solder wetting wit
In microelectronics, Si chips are thinned and stacked on top of each other to obtain a 3D‐stacked
device with better performance (faster, more functionality and memory, etc.). To electrically contact
the Si chips inside this stack, Cu pads/bumps at the top and bottom of subsequent chips are
interconnected using solder bumps, i.e. micro‐bumps. Oxidation of the Cu pads/bumps before or
during bonding should be prevented, because it results in a poor electrical connection and IMC
(intermetallic compound) formation. In addition, the solder should have an excellent wetting of Cu
pad/bump such that good and reliable IMC is formed.
The aim of this master thesis project is to investigate which thin layers can improve the solder
wetting without having negative impact on the performance of devices. These layers will be coated
on the Cu pads/bumps.
The student will dofirst a literature review to select possible metallic and organic layers for this
application. Next, wettability study of the deposited layers will be carried out at the materials science
facility of the KU Leuven. 3D stacking of chips containing the selected layers will be done by the
student in the cleanroom of imec. The resulting devices will electrically be tested, and then SEM
cross‐section will be performed to study the IMC formation in the joint. This should provide in‐depth
information on the wetting behavior and applicability for bonding of the selected thin layers. The
results will be presented to imec’s industrial partners during a workshop in April.
Master Nanoscience and Nanotechnology
Thesis Title: Detection of Cu contamination from TSV into Si substrate by C‐t measurements
Promotor: Ingrid De Wolf
Faculty/Research Group: Engineering science/MTM/imec
Daily Supervision: Michele Stucchi, Emmanuel Chery
Type of work: Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Cu contamination in 3D silicon chips is a matter of life and death for charge carriers: you will hunt traces of transistor‐killer Cu contamination in silicon chips for 3D integration, by measuring the lifetime‐shortening effect on electron and holes in MO
Stacking silicon chips or integrated circuits, ICs, on top of each other, is one of the new emerging
technologies for increasing the performance and the miniaturization of integrated circuits. Stacking
of chips with the same technology allows for example more transistors per footprint area, as well as
floors in buildings allow more people to live in the same street address. Chips with different
technologies and functions, for example memory and logic, are placed on top of each other to save
space in mobile devices, where the miniaturization is an essential requirement. As for other silicon
chip, these stacked chips must communicate with each other by electrical signals, sent through a
suitable interconnect network.
The fundamental interconnect element of 3D stacked ICs is the TSV, or Through‐Silicon Via. The TSVs
consist of a vertical cylindric conductor, usually copper (Cu), crossing the silicon substrate and
enabling the electrical connection between the frontside and the backside metallizations of a thinned
chip, as illustrated in Fig. 1(a).
The TSV conductor is insulated from the silicon substrate by a liner and a barrier. The liner is a
dielectric layer, usually SiO2, deposited after etching the TSV hole in the silicon. It insulates
electrically the TSV from the silicon substrate. The barrier, on the other hand, is generally made of a
thin conductive material. It prevents the diffusion of copper atoms or ions in the silicon substrate.
Fig. 1(b) shows details of the TSV conductor, the liner, the barrier and the silicon substrate. Two
planar dielectric layers, namely Pre‐Metal Dielectric or PMD and backside or BS passivation, insulate
the top and the backside metallization or RDL, respectively, from the Si substrate.
The complete electrical isolation of the TSV Cu conductor from the Si substrate is of vital importance
for the functionality of the 3D stacked IC. Not only the SiO2 layer must be continuous and without
any defect which could create a conductive path to the substrate: the barrier material must also be
Master Nanoscience and Nanotechnology
without any defect or pinhole to prevent copper ions to diffuse into the oxide and from there into
the silicon substrate, as illustrated in Fig. 1(b). Indeed, copper is a strong contaminating specie due to
its capacity to create highly efficient recombination centers in the middle of silicon bandgap thus
changing transistor electrical characteristics.
Fig. 1 (a) Schematic representation of a TSV cross‐section, showing the TSV conductor connecting the
lower interconnect level at the frontside to the RDL at the backside of a thinned wafer. Dimensions
are not to scale. (b) Mechanism of Cu contamination from a TSV with a defective barrier: Cu ions can
migrate through a small pinhole into the SiO2 insulator and into the Si substrate, thus damaging
transistors located in proximity of the TSV
A technique potentially able to detect the Cu ion contamination of the Si substrate is based on the
capacitance vs. time characterization, or C‐t, of Metal‐Oxide‐Semiconductor or MOS capacitors. This
technique provides information about the degradation of the minority carrier lifetime in the
substrate side of the MOS, due to the presence of recombination centers generated by the Cu ions
diffused into the Si substrate.
The objective of this thesis is to evaluate experimentally the possibility of the C‐t method to detect
Cu ion contamination from a TSV by measuring the C‐t characteristic of MOS capacitors located in the
proximity of the TSV itself. The experimental work will be based on extraction of the minority carrier
lifetime from C‐t measurements on MOS structures surrounding a TSV damaged by electrical
overstress. In this TSVs, the barrier is damaged, and the Cu contamination action will be enhanced by
a temperature treatment which facilitates the Cu ion diffusion.
Content of the thesis:30% theoretical40% experimental (electrical measurements)30% data analysis
and interpretation
Master Nanoscience and Nanotechnology
Thesis Title: Thermal conductivity measurements of metal nanostructures
Promotor: Ingrid De Wolf
Faculty/Research Group: Engineering science/MTM/imec
Daily Supervision: Christoph Adelmann, Herman Oprins
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Explore the impact of small dimensions on thermal conductivity
The removal of the heat generated by active components belongs to the most crucial challenges of
microelectronic technology. The ever‐increasing component density in microelectronic chips leads
also an ever‐increasing power density. The generated heat needs to be conducted to the package
and ultimately to an outside cooling system to avoid overheating and the resulting loss of
functionality. This has become akey issue that limits the operation speed of chips for many
generations. Recently, the thermal resistance of the interconnect system in the back‐end of line has
become a major factor in the thermal characteristics of chips. In the last technology nodes, the
performance and reliability of conventional Cu interconnects have become increasingly limited for
interconnect wire widths below 20 nm. Therefore, there is now a growing interest to replace Cu by
alternative metals, for example Co, Ru, or Mo, which might outperform Cu in terms of line resistance
and reliability for wire width of 15 nm and below. However, the thermal behavior of these alternative
metals is only rudimentarily understood and therefore the impact of the introduction of those
materials on the thermal behavior of the interconnects is still and open question.
In this internship or thesis, we would like to explore the thermal conductivity of ultrathin films of
alterative metals, such as Ru, Co, or Mo. The goal will be the understanding of the intrinsic thermal
properties of these metals as well as the effect of film thicknesses in the nanometer range. This will
include the definition of suitable test structures, their fabrication, as well as the development of the
measurement itself and the assessment of the measurement accuracy. The experimental work will
be complemented with the thermal modeling of the test structures, in collaboration with the
reliability modeling group at imec. Additional focus will be on the understanding of the underlying
physics of thermal transport in metallic thin films.
The student will learn hands‐on experience in thermal transport measurements in nanoscale
systems, nanofabrication in a cleanroom environment, as well as introductions to a broad range of
materials characterization techniques. She or he should have a strong interest in materials science
and thin film characterization as well as in leading edge research on thermal properties of materials
Master Nanoscience and Nanotechnology
Thesis Title: Piezoresistivity in microelectronics and its formalization using linear algebra
Promotor: : Ingrid De Wolf
Faculty/Research Group: Engineering science/MTM/imec
Daily Supervision: Vladimir Cherman
Type of work: Modeling;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Development the methodology for analyzing the electrical response of piezoresistive sensors integrated in IC packages for accurate evaluation of mechanical stresses through solutions of linear equations and sensitivity analysis
Experimental evaluation of Chip‐Package Interaction (CPI) in microelectronics devices is a valuable
technique which allows assessment of 3D integration and packaging technologies in terms of their
mechanical stability and reliability. It includes electrical measurements of integrated piezoresistive
stress sensors and data analysis. The later employs linear algebra to derive components of
mechanical stress from the measured electrical data and the calibrated piezoresistivity coefficients.
As in any experimental method, electrical measurements of the integrated piezoresistive sensors are
not free of noise and uncertainties and include both random and systematic errors which require
additional sensitivity analysis and finding the best algorithm for the data analysis and the
interpretation of the experimental results.
The work of the student will include:Analysis and systematization of large amounts of experimental
data,Development of formal mathematical algorithms for conversion of experimental electrical data
into the components of mechanical stress,Assessment of systematic and random noise based on the
additional experiments and data analysis,Sensitivity analysis of the developed (in item 2) algorithms
based on the data obtained in item 3, Development and implementation of a user‐friendly GUI
interface based on the algorithms developed in items 1‐4.
This is very challenging and complex project and thus successful completion of two tasks from above
can be considered as success criteria for the master thesis.
The student should satisfy the following criteria:Master in mathematics with strong background in
linear algebra and statistics,Programing skills,Basic knowledge of theory of piezoresistivity, material
science (stress‐strain relationship) and knowledge of electrical measurements and instrumentation
are not strictly required but preferred.
Master Nanoscience and Nanotechnology
Thesis Title: DFT Transport in Metal ‐ Semiconductor interfaces for next‐generation devices made of 2D materials
Promotor: Bart Soree
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Aryan Afzalian
Type of work: Devices;#Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Explore and unleash the potential of a brand‐new class of intriguing materials and devices, while learning to use and develop state‐of‐the‐art quantum transport atomistic tools and methods.
The discovery of graphene in 2004 has sparked a renewed interest for materials in 2‐D form. Among
other materials, transition metal dichalcogenides (TMDs) or black phosphorus (BP) are widely
investigated by the scientific community for various applications such as sensing, lighting, and CMOS
logic.
The large variety of 2‐D materials with various bandgaps, effective masses, and their excellent
electrostatic properties related to their atomistically thin 2‐D nature hold promise to find in their
midst the ultimate candidate for CMOS scaling, i.e., for transistors with a gate length, L, well below
10 nm. This include MOSFETs transistors but also novel devices, e.g., Tunnel‐FETs than can be
realized using a homojunction of an appropriate material or using a Van‐der‐Waals heterojunction
layer stack, or even more advanced concepts.
Today, much is still to be done to explore and fully unleash the potential of this brand‐new class of
intriguing materials and devices. Modeling and simulations are essential at this stage to orient the
field and guide experimentalists. One of the fundamental challenge to be addressed is to understand
how these 2D materials can be contacted to 3D metals to allow good quality, or ohmic, contact for
the devices. Typically, today, highly resistive contacts related to high interfacial Schottky barriers with
values that are not in agreement with the standard theory are measured experimentally. This
severely hampers the performance and potential for application of 2D transistors. The transport
through metal – 2D semiconductor interfaces is complicated by the absence of strong bond between
the metal and the 2D material in the third dimension.
Atomistic full‐band quantum transport simulations including electron‐phonon scattering have been
shown indispensable to consider intricate band‐structure and transport effects, as for example
narrow valleys and the need for phonon mediated transport in a MoS2 transistor and assess the
Master Nanoscience and Nanotechnology
performance of these devices. In addition, to understand and explore the complicated metal – 2D
interface, a First principle atomistic method, such as Density‐Functional‐Theory (DFT), is
indispensable. A state‐of‐the art simulator for 2‐D material based devices uses a dissipative localized‐
orbital‐basis Ab‐Initio Atomistic NEGF algorithm. We have built such a simulator. The device
Hamiltonian is created in our simulator using as building blocks DFT supercell elements of the
materials or combination of materials of interest (e.g., computed by VASP or QUANTUM EXPRESSO)
and transformed in a localized orbital‐basis, as needed for transport, using the maximally‐localized
Wannier‐function method.
In this thesis, you will explore the properties of new 2‐D material devices, focusing on the modeling
and physical understanding of the role of the metal ‐ semiconductor interface in the overall transport
properties. These include monolayer or a few layers of semiconducting mono‐ or heterojunction
materials with various semiconducting – metal interfaces... You will build, using DFT, the supercell
elements needed for the device simulations. You will learn to use and develop, as needed, the most
advanced quantum transport atomistic tools and methods. You will investigate the fundamental
physics and performances of innovative devices. You will learn and benefit from the support from
experts in the field. Possibility to closely work and interact with experimentalists is also available, as
IMEC has a strong expertise in 2‐D materials and devices fabrication and characterization.
Master Nanoscience and Nanotechnology
Thesis Title: Magnetic Metrology for STT‐MRAM
Promotor: Bart Soree
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Robert Carpenter, Sebastien Couet, Johan Swerts
Type of work: Modeling;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Help to expand the much needed metrology solutions required for development of next‐generation STT‐MRAM
Future memory technology nodes will require faster, smaller, more power‐efficient devices.
Conventional memories such as Dynamic Random‐Access Memory (DRAM) and Static Random‐
Access Memory (SRAM) are reaching their scaling limits. To overcome this, new emerging memories
are being developed. Of these, perpendicular Spin‐ Transfer Torque Magnetic Random‐Access
Memory (STT‐MRAM) is one of the leading contenders.
High performance STT‐MRAM stacks can contain up to 20 different layers, each of which are 1 nm
thick or less. The key component of this is the perpendicular Magnetic Tunnel Junction (p‐MTJ). This
consists of a CoFeB/MgO/CoFeB trilayer in which the one of the CoFeB layers is a fixed Reference
Layer (RL) and the other is a switchable Free Layer (FL). The relative orientation of the magnetisation
of these layers leads to two resistance states and thus a ‘1’ or ‘0’.
In order to design, and manufacture, these devices we must be able to monitor and measure the
STT‐MRAM stack and extract easily information on the magnetic behaviour of these layers. This is
non‐trivial as these properties change from a blanket film to the final patterned device.
The student will conduct experiments on improving the magnetic metrology around imec’s STT‐
MRAM devices. These will be carried out on a range of techniques such as Current In‐Plane Tunneling
(CIPT), Vibrating Sample Magnetometery (VSM) and a newly installed Polar Kerr. The topics,
depending on the student’s strengths, can range from understanding the physical limits of magnetic
metrologies for MRAM, the correlation between complementary methods, automation of analysis
processes or simulation.
Master Nanoscience and Nanotechnology
Thesis Title: Quantum spin wave‐like excitations in low‐dimensional ferromagnets
Promotor: Bart Soree
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Joren Vanherck, Bart Soree
Type of work: Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Exploring the reals of quantum spin dynamics in two‐dimensional ferromagnets
Integrated Circuits (ICs) are present in all electronic devices around us. In an effort to make these
devices faster and cheaper, the smallest IC building blocks need to be scaled down. This further
downscaling of transistors based on CMOS technology results however in a higher heat dissipation.
An alternative for today’s transistors is the spin wave majority gate, which is expected to have a low
power dissipation when miniaturized. Genuine spin waves originate from deviations of individual,
single spins with respect to the perfectly ordered ground state of a ferromagnet in which all spins are
aligned parallel to each other. The waves that are propagating such deviations through the lattice of
the ferromagnet are called spin waves and, as such, they can be excited only at very low
temperatures. However, at room temperature one may excite similar waves, corresponding to the
spatial variation of the macroscopic magnetization vector that locally deviates from the spontaneous
magnetization. Although the basic quantum theory of ferromagnetism has been established already
in the previous century, various fundamental problems are left unsolved or remain to be highly
controversial, especially those concerning low‐dimensional magnets. Rather than relying on semi‐
classical theories and simulation programs, this project will focus on the fundamental physics of the
spin dynamics of two‐dimensional ferromagnets. More specifically, this project addresses the time‐
dependent evolution of the magnetization and related quantities in order to mimic the propagation
of the basic excitations and/or magnetization waves through low‐dimensional magnets, such as spin
wave buses or other (ultra)thin magnetic layers. Aiming at a full quantum dynamical treatment of
low‐dimensional spin systems, this project involves extensive computational effort, both numerically
and on the theory side, where the shortcomings of commonly used classical dynamics based on the
LLG equations need to be superseded. The questions that can be dealt with are: How does the local
magnetization evolve in time and space? How to trigger the (phase‐coherent?) propagation of
elementary excitations (spin waves or spin wave‐like deviations)? To which extent can we
superimpose the propagation of magnetization waves, knowing that spin waves are no bosons and
can therefore not be simply superimposed to generate all possible eigenstates? How detrimental is
the effect of decoherence (spin‐phonon interactions, spin‐spin scattering...)? Simulating the spin
Master Nanoscience and Nanotechnology
wave behavior in a spin wave majority gate is a very challenging task, due to its complex shape,
multitude of interactions between different materials and the magnetic finite temperature
excitations. Nevertheless, studying the ferromagnet at zero temperature using a simple geometry
can provide already many of the desired insights. More specifically, the ferromagnet can be
described as a saturated spin lattice with a Heisenberg spin Hamiltonian. Displacing some spins from
their equilibrium orientation initiates a spin wave, whose dynamics is described using the Heisenberg
equation of motion. The geometry itself can range from a finite spin chain up to an infinite two‐
dimensional lattice.
This project will involve both analytical and numerical calculations in the domains of quantum
mechanics and magnetism.
Master Nanoscience and Nanotechnology
Thesis Title: Quantum computing
Promotor: Bart Soree
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Bogdan Govoreanu
Type of work:
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Quantum computing
Over the past decades, the continued drive for increasing computing power has fueled the growth
and evolution of the mainstream CMOS technology. Physical device scaling, which stood at the basis
of this growth, is eventually approaching its limits, as fundamental physical barriers are expected to
be soon reached. While a large effort remains in place for researching novel devices, alternative
computing paradigms that can overcome the computational limits as projected today start taking
shape. In this context, quantum computing emerges rapidly as a research field that has the potential
to bring to practice technologies exploiting massive parallelism, which will be able to push the
computational power way beyond the contemporary realm.
Imec started recently research on two key qubit concepts, that hold promise for making the jump
from exploratory research towards advanced technology in a foreseeable future: spin‐qubits,
implementation of which relies on quantum dots defined electrostatically and lithographically in
semiconductor materials; and superconducting qubits, that exploit the nonlinearity of the Josephson
junction with the negligible dissipation of superconducting resonators, to implement qubit states.
Along with the continuous push for identifying and demonstrating new device concepts, a key goal of
the project is to improve the technological abilities to fabricate reproducible, high yield structures, in
CMOS‐compatible integrated processes, thus opening paths for systematic research to improve and
advance the understanding in the field.
In this context, we are open to hosting students for electrical characterization, software
development for testing platforms implementation and/or data analysis, device design, simulations,
etc. The concrete content of these assignments will consider the project needs at the time of
application, availability of the admitted applicant and the duration of the internship, as well as the
affinity of the applicant towards certain topics. The selected applicant will be assigned to an imec
scientist from the QC project team, for daily supervision and guidance. The applicant will benefit
from an early exposure to the field, interaction with imec's experts in a cross‐disciplinary and highly
skilled environment and will acquire relevant hands‐on expertise.
Master Nanoscience and Nanotechnology
Specific familiarity of the applicant with quantum computing is not required, however it is an asset.
Good knowledge of basic semiconductor physics/CMOS technology is expected. Familiarity with
programming languages is a plus. Considering the strongly international character of imec, good
knowledge of English as a working language, is mandatory.
Master Nanoscience and Nanotechnology
Thesis Title: Spintronic devices based on spin waves for beyond CMOS applications
Promotor: Bart Soree, Marc Heyns
Faculty/Research Group: Engineering science/ESAT‐MTM/imec
Daily Supervision: Florin Ciubotaru, Christoph Adelmann
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Explore and evaluate spintronic devices based on spin waves for computing architectures
Spintronic devices are considered very promising candidates to complement CMOS technology to
further improve their capabilities as well as to enable additional functionalities as non‐volatility or
reconfigurability of logic circuits. Concepts based on nano‐magnetic elements, domain walls and spin
waves have been proposed for both Boolean and non‐Boolean logic operations.
Devices based on spin waveshave high potential for power and area reduction per computing
throughput. The information can be encoded in either the amplitude or the phase of the wave, while
the logic operation is based on the interference of spin waves, which is a keystone for the realization
of logic gates. To be competitive with actual CMOS technology the spin wave devices need to tackle
waves with wavelengths below 100 nm and a miniaturization down to the nanoscale. Thus, the
understanding of the properties of spin waves as their generation and propagation, the phase control
and the interference mechanism at the nano‐scale are of fundamental importance for the realization
of the logic gates.
Within this thesis, the student will fabricate spin‐wave devices based on different magnetic
materials, from micro‐ down to the nanoscale and will contribute to their characterization in the
microwave frequency range leading to important properties as spin wave dispersion relation, their
damping and their propagation characteristics in the linear and non‐linear regimes, including a phase
analysis. The results will be an important step to understand the emission, the routing and the
combination/interference of spin waves to continue the assessment of the concept devices as
alternatives or complements to CMOS transistors in future technology nodes. The experimental work
will be performed in close collaboration with modeling activities (materials, devices, circuits) in the
spintronics group at imec. The student should have a strong interest in nanofabrication in a
cleanroom environment as well as in leading edge research topics on magnetism and magnetic
materials.
Master Nanoscience and Nanotechnology
Thesis Title: Modeling of magnetoelectric effect for advanced spintronic applications
Promotor: Bart Soree, Marc Heyns
Faculty/Research Group: Engineering science/ESAT‐MTM/imec
Daily Supervision: Christoph Adelmann, Florin Ciubotaru
Type of work: Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Evaluate the magnetoelectric coupling to magnetic textures for low‐power spintronic devices
Spintronics is a novel field of electronics that uses the spin of electrons or the magnetization of thin
films instead of charge in memory or logic computation devices. A key issue of spintronics is the
energy‐efficient control of the magnetization in such devices. Current device concepts are often
based on the control of the magnetization by currents, for example via generated magnetic fields or
recently discovered effects, such as spin‐transfer torque or spin‐orbit torque. However, such
techniques are typically not very energy‐efficient and it would be very desirable to control the
magnetization by electric fields instead. In principle, this can be done by the magnetoelectric effect,
which couples electric fields to the magnetization. This effect is currently strongly considered to be
included in future generations of low‐power spintronic devices.
Magnetoelectric effects naturally occur in multiferroic materials but much stronger strain‐induced
magnetoelectric coupling can be observed in composite materials consisting of piezoelectric and
magnetostrictive materials. The application in spintronic devices requires a detailed understanding of
the effects of the geometry (e.g. the relative directions of the electric field and the magnetization) as
well as thermal fluctuations on the magnetization dynamics. In this thesis, the student will perform
micromagnetic simulations to study the magnetoelectric coupling in different geometries and
different material systems. The goal of the thesis is to develop efficient strategies to excite, control,
and detect magnetization dynamics (including magnetization switching, interaction with magnetic
domain walls and spin waves) by the magnetoelectric effect and transfer them to a magnetic
waveguide. The work will be in close collaboration with experimentalists working on integration of
magnetoelectrics into spintronic devices for beyond CMOS logic.
Master Nanoscience and Nanotechnology
Thesis Title: Manipulation of magnetic domain wall motion to enable spin logic devices
Promotor: Bart Soree, Marc Heyns
Faculty/Research Group: Engineering science/ESAT‐MTM/imec
Daily Supervision: Van Dai Nguyen, Sebastien Couet
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Exploring advanced spintronic devices for beyond‐CMOS technology
Experimental demonstration of current‐induced domain wall (DW), i.e., the boundaries between
regions of uniform magnetization, motion in ferromagnetic nanowires opens a novel way to store
and to manipulate information for computation. Several DW‐based device concepts have been
proposed to build the energy‐efficient and scalable devices. Spin logic devices based on DW motion
are promising candidates to continue scaling down the integrated circuit and circumvent the
limitation of current CMOS technology related to power dissipation at low dimension. In such
devices, the logic information is encoded in the position of a DW while its motion is employed to
perform the logic function. Therefore, understanding the dynamics behavior of DW motion and
controlling DW speed are critical as it essentially relates to the operational speed of the logic circuit.
Recently, the discovery of extremely fast current‐driven DW motion and low threshold current in the
magnetic materials composed of a very thin ferromagnet sandwiched between a heavy metal and an
oxide offers a route towards the realization of the spin logic devices. This remarkable feature is
entirely linked to the presence of the interfacial Dzyaloshinskii–Moriya interaction (DMI) and the spin
orbit torque (SOT) originating at the interface of heavy metal and ferromagnetic layer.
The goal of this internship will study the effect of DMI and SOT governing the physical process of DW
motion in materials with perpendicular magnetic anisotropy in order to achieve fast and reliable
current‐induced DW motion. The student will fabricate spin logic devices, from micro‐down to the
nanoscale using cleanroom facilities at IMEC. The DW propagation characteristics in these devices
will be studied using magneto‐transport measurements and magnetic imaging techniques as Kerr
microscopy. A part of the experimental works will be performed in close collaboration with the
laboratory in France. The student should have a strong interest in nanofabrication in a cleanroom
environment as well as in leading edge research topics on magnetism and magnetic materials.
Master Nanoscience and Nanotechnology
Thesis Title: Magnetoelectric devices for beyond CMOS applications
Promotor: Bart Soree, Marc Heyns
Faculty/Research Group: Engineering science/ESAT‐MTM/imec
Daily Supervision: Christoph Adelmann, Florin Ciubotaru, Hasnain Ahmad
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Explore and evaluate the efficiency of the magnetoelectric effect in piezoelectric/magnetic devices
Among the technologies that could potentially represent a paradigm shift with respect to CMOS
technology, spintronic computation presents several advantages to achieve area and power
reduction. The possibility to perform multifrequency processing and the non‐volatility of the
magnetic materials could provide new functionalities to circuit designers for various applications.
However, a major limitation for the realization of spintronic devices is the lack of a scalable and
energy efficient transducer. A promising route for both low energy and an efficient control of the
magnetization is the usage of the magnetoelectric effect, which couples electric fields to the
magnetization. The magnetoelectric transducer consists of piezoelectric‐magnetostrictive
compounds (e.g. bilayers), in which the coupling between the electric and the spin domain occurs via
strain. The strain induced in the piezoelectric layer by the applied electric field is transferred to the
magnetostrictive film that in turn changes its magnetic state. The application in spintronic devices
requires a detailed understanding of the coupling mechanism as well as the development of material
systems to enhance the coupling efficiency.
Within the thesis, the student will develop magnetoelectric devices based on different
piezoelectric/magnetic systems and will characterize the magnetoelectric coupling in both static (DC)
and radio‐frequency regime. The thesis will range from material‐oriented activities, such as the
deposition and characterization of magnetoelectric compounds, device processing at imec's
nanofabrication facilities, as well as advanced electrical characterization. This will allow to assess the
potential of magnetoelectric devices for advanced spintronic applications, such as spin wave logic or
magnetoelectric memories. The experimental work will be supported by modeling activities
(materials, devices, circuits) in the spintronics group at imec. The student should have a strong
interest in nanofabrication in a cleanroom environment as well as in leading edge research topics on
magnetism and magnetic materials.
Master Nanoscience and Nanotechnology
Thesis Title: Modeling of magnetization switching in hybrid magnetic tunnel junction
Promotor: Bart Soree, Marc Heyns
Faculty/Research Group: Engineering science/ESAT‐MTM/imec
Daily Supervision: Florin Ciubotaru, Van Dai Nguyen, Sebastien Couet
Type of work: Devices;#Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Understanding of the magnetization switching mechanisms and the domain wall propagation in hybrid magnetic tunnel junction
Spintronic devices are intensively studied to complement and to expand the operability of the CMOS
transistors since they can provide new functionality as non‐volatility, ultra‐low power operation and
scalability. The magnetic tunnel junction (MTJ) elements demonstrated the technological impact as a
new type of magnetic memories, and they also have potential to be integrated in logic devices. An
MTJ is formed by two magnetic layers (one of fixed magnetization acting as reference, and a layer
with switchable magnetization called free layer) separated by a thin insulating barrier. The electrical
resistance of these structures depends on the relative orientation of the magnetization in the two
magnetic layers and it is used to encode the information. The logic operations are based on the
magnetization switching and the information transport through domain walls motion.
The experimental results have shown that using the interfacial perpendicular magnetic anisotropy
induced by the CoFeB/MgO interface enables the possibility to simultaneously satisfy the
technological requirements of high TMR ratio for a fast and reliable reading, and low switching
currents to reduce the power consumption. However, the DW velocities are rather moderate in
devices based on CoFeB/MgO stacks. Recently, new engineered MTJ stacks that incorporates
synthetic antiferromagnetic as free layers have been proposed. In this configuration a high domain
wall velocity is expected, condition required for logic applications.
The goal of this thesis is the understanding of the magnetization switching mechanisms and the
domain wall propagation in these novel devices by means of micromagnetic simulations. The study
will focus on the influence of the material parameters, the thickness of the magnetic/non‐magnetic
layers and the device geometry on the switching behavior. The results will be used to optimize the
stack composition for low switching energies and device scaling towards real applications.
Master Nanoscience and Nanotechnology
Thesis Title: Investigation of Ga dopings behavior during the low temperature epitaxy based on high order silanes and germanes
Promotor: Marc Heyns, Stefan De Gendt
Faculty/Research Group: Engineering science/MTM Science/Chemistry/imec
Daily Supervision: Andriy Hikavyy, Clement Porret
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Investigation of Ga dopings behavior during the low temperature epitaxy based on high order silanes and germanes for p‐type Source/Drain application in the advanced MOS devices.
Epitaxial growth of group IV materials is a well‐known process and it is used at many different steps
during the production of a wide range of semiconductor devices ranging from lasers to MOS FETs.
Epitaxial Si is a base for the production of practically every chip. SiGe is responsible for success of
both high frequency BICMOS and highly scaled CMOS transistors.
At present, most of the major semiconductor players produce chips on the fin FET technology at the
14‐10 nm technology node with 7 nm beeing around the corner. It is also often considered that
devices at 7 and 5 nm or even smaller nodes will be based on alternative group IV semiconductors
(SiGe, Ge). SiGe and Ge, contrary to Si allow to improve electrical characteristics of pMOS transistors
due to higher intrinsic holes mobility.
Although conventional growth of group IV materials on Si is well known, new device architectures
(finFETs, gate all around FETs, nanowire FETs, etc) impose very stringent requirements on
composition, doping, thermal budget, etc. In many cases epitaxial material has to be grown at
temperatures which are too low for conventional precursors used in the semiconductor industry
(silane, dichlorosilane, germane) resulting in very low deposition rates. Increase of temperature
often leads to changed devices geometry and loss of performance.
In order to solve the problems mentioned above, high order germanes and silanes receive lately
considerable attention. Non selective processes based on such precursors have been developed and
are used for the production of GAA FinFet devices, electro absorption modulators and memory
devices. The next step is to investigate possibilities for doping and growth selectivity in order to
develop processes suitable for application on patterned wafers.
Master Nanoscience and Nanotechnology
The aim of this work will be to study the epitaxial growth of SiGe:Ga using advanced precursors for
epitaxy and Ga as a new doping element. The main focus will concern the growth kinetics, structural
and electrical properties of the obtained layers.
The candidate is expected to:focus on the epitaxial growth aspects and study the physics and
chemistry involved in the CVD of group IV materials using high‐order silanes and
germanes;investigate epitaxial material properties, characterize defects and study their electrical
activity;learn and master characterization techniques such as X‐ray diffraction, micro 4‐point probe,
SEM,SIMS, etc
Master Nanoscience and Nanotechnology
Thesis Title: Wireless power and communication for smart contact lens
Promotor: Chris Van Hoof
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Bogdan Raducanu
Type of work: Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Design a wireless communication and power transfer circuit for a smart contact lens.
Healthcare monitoring is expanding into daily products. One such platform which has opened up the
possibilities for advanced measurement as well as eye prosthetics is the contact lens. Miniature
circuits and sensors can be embedded into flexible lenses providing information about the user’s
health. However, powering such circuits for long times as well as providing a way to exchange data
wirelessly is proving challenging.
A smart contact lens contains a sealed circuit which requires a rechargeable battery to achieve daily
operation while measuring biological parameters or providing eye prosthetics. The circuits inside will
need to communicate to an external platform for data transfer or parameters exchange as well as
provide a way of recharging the battery.
The goal of this work is to design a wireless communication and power transfer circuit adhering to
known (i.e. NFC) or proprietary standards, which can be used as part of a CMOS contact lens ASIC.
The student will experience the full design process from ideal block modeling to transistor level
design, layout, and post‐layout verifications.
Master Nanoscience and Nanotechnology
Thesis Title: Phase to digital converter for oscillator‐based neural recording pixels
Promotor: Chris Van Hoof
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Shiwei Wang
Type of work: Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology X
Description:
Pave the way to high‐resolution brain machine interface with enhanced digital neural pixels.
There will be an ever‐increasing demand for high‐resolution brain machine interface devices in
research, clinical, and also consumer applications. Such devices require advanced ASICs with high‐
density digital neural recording pixels to translate activities of large neuronal populations into '0' and
'1's that can be precisely understood by computers. Oscillator‐based neural recording circuits have
become exceedingly popular by virtue of its advanctages in area‐efficient implementation and its
potentials to benefit from CMOS technology scaling. This project will be carried out on the basis of an
oscillator‐based neural recording pixel chip developed at imec. The pixel chip encodes neural
potential signals into phase domain, and a phase‐to‐digital converter is needed next for digitization.
In the first phase of the project, the student will develop a phase‐to‐digital converter using
commercial off‐the‐shelf components, and build a complete neural recording channel with the pixel
chip. In the second phase, the student will design and implement the phase‐to‐digital converter using
custom CMOS integrated circuits, and to integrate the design with the existing neural pixel circuitry,
and ultimately develop an ASIC with low power, small‐area, oscillator‐based digital neural recording
pixel array.
Master Nanoscience and Nanotechnology
Thesis Title: Hardware design for Binary Neural Network
Promotor: Chris Van Hoof
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Dwaipayan Biswas
Type of work: Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Energy efficient architecture and design for resource constrained sensor platforms.
The thesis is intended to explore an architecture and hardware design for binary neural networks for
resource constrained sensorplatform.The design will target a heart rate monitoring application from
wrist‐worn photoplethysmography (PPG) signals.
Wrist‐worn PPG signals provide a pervasive solution towards measuring physiological parameters,
e.g. heart rate. Due to itsform factor advantages, they have gained popularity over ECG sensing
modalities. However, data collected through PPG sensors suffer from interference due to motion
artifacts when used in ambulant environment. CNN, LSTM, are populardeep learning algorithms,
providing a powerful medium to solve critical problems and has itsadvantages over traditional
feature‐based machine learning approaches. We use abinaryCNN‐LSTM based approach toestimate
heart rate from wrist‐worn PPG data, collected in ambulant environment during intense motion.
For real‐time operations, a hardware design of the framework is required in conjunction with the
sensor front end. This will require understanding the algorithm, the specific networkoperation and
develop an optimized architecture and hardware design in terms of area‐power‐accuracy. This will
require a thorough literature review of existing designs for binary accelerators and implementing one
for the given application. The candidate is expected to perform verification of the designed hardware
and explore possibilities for demonstrating real‐time operations on a FPGA or ARM core.The
candidate is expected to have preliminary knowledge of Python, Matlab, C and sufficient hardware
design knowledge usingVerilog/VHDL/System Verilog HDL.
Master Nanoscience and Nanotechnology
Thesis Title: Evaluation of quality metrics for contact and non‐contact physiological signals
Promotor: Chris Van Hoof
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Neide Simoes Capela, Ivan Dario Castro Miller
Type of work: Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
During the previous years, imec has been working on the development of technologies for the acquisition of physiological signals from the human body in every‐day environments. This includes different form factor of electronic designs that allow to monitor
What is the performance of quality estimation methods for contact‐based physiological signals (ECG
& BIOZ) when applied to non‐contact based signals and vice‐versa? What features/methods from
each domain can be used/merged with features from the other domain?
During the previous years, imec has been working on the development of technologies for the
acquisition of physiological signals from the human body in every‐day environments. This includes
different form factor of electronic designs that allow to monitor the electrocardiogram (ECG),
bioimpedance (BIOZ), among other relevant physiological signals. Since the goal is to allow
monitoring with minimal obtrusiveness in everyday life, miniaturized devices [i] and even contactless
devices [ii,iii] have been developed for this purpose.
An important challenge when obtaining signals from real‐life environment is the presence of motion
artefacts that reduce the usability of the signal or completely distorts it. To face this challenge, imec
has been working on quality indicators for ECG and BIOZ, both for signals obtained with contact and
contactless [iv] devices.
This internship aims to, after an initial literature review, perform an evaluation of imec’s existing
algorithms for quality indication, based on data that has either been already collected or is expected
to be collected by the start of the internship. One of the most interesting questions to solve is
whether the quality indicators that have been shown to be effective in contact‐mode signals are also
effective in non‐contact mode signals, and vice‐versa.
After an initial assessment of the available algorithms for quality estimation, and its performance
when applied to the different modalities (contact ECG, non‐contact ECG, contact BIOZ, non‐contact
BIOZ) the student is expected to perform a feature and algorithm optimization, suggesting which
Master Nanoscience and Nanotechnology
methods may be effective in each modality but less effective in other, and which may be applicable
to the different modalities (both across signal type and across acquisition technology), suggesting
feature/algorithm merging between modalities/technologies when applicable. The internship/thesis
work may start by a focus on ECG signals (contact & non‐contact) and then move to BIOZ (and
possibly other physiological) signals, depending on the time and the findings of the first part of the
internship.
[1] Imec, accessed: 2018.12.13,http://www.imec‐int.com/drupal/sites/default/files/2017‐
03/HEALTH%20PATCH_1.pdf
[1] Castro, I. D., Morariu, R., Torfs, T., Van Hoof, C., & Puers, R. (2016, May). Robust wireless
capacitive ECG system with adaptive signal quality and motion artifact reduction. In Medical
Measurements and Applications (MeMeA), 2016 IEEE International Symposium on (pp. 1‐6). IEEE.
[1] Torfs, T., Chen, Y. H., Kim, H., & Yazicioglu, R. F. (2014). Noncontact ECG recording system with
real time capacitance measurement for motion artifact reduction. IEEE transactions on biomedical
circuits and systems, 8(5), 617‐625.
[1] Castro, I. D., Varon, C., Torfs, T., Van Huffel, S., Puers, R., & Van Hoof, C. (2018). Evaluation of a
Multichannel Non‐Contact ECG System and Signal Quality Algorithms for Sleep Apnea Detection and
Monitoring. Sensors, 18(2), 577.
Master Nanoscience and Nanotechnology
Thesis Title: Mixed‐signal Frontend Design with Convolutional Neural Network Computational Capacity
Promotor: Chris Van Hoof
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Shuang Song, Dwaipayan Biswas, Didac Gomez Salinas
Type of work: Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Enhancing the performance of data driven deep learning network by exploring the analogue and mixed signal sensor frontend with convolutional neural network computational capacity.
Recent effort on biomedical signal processing for health monitoring has shown promising results by
using machine learning (i.e. deep learning) based algorithms. While the training phase can be
performed offline on optimized hardware, implementing such neural network models on resource
constrained wrist‐worn platforms incurs research challenges in terms of chip area and power
consumption. This introduces a new opportunity to design an energy‐efficient sensor frontend within
a mixed‐signal network to perform part of the neural network related computation, which offloads
digital processing. The mixed‐signal network provide high power/area efficiency together with good
reconfigurabilities. The research will involve understanding a) PPG‐applications; b) deep learning
algorithms, c) hardware architecture (conventional, CIM, time‐domain, mixed‐signal etc.)
implementing the ML algorithm, d) low power analog and digital circuit design to perform basic
neural related computation and implementing neural network related computational capabilities.
Master Nanoscience and Nanotechnology
Thesis Title: Driving digital innovation in mental health applications
Promotor: Chris Van Hoof
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Walter De Raedt
Type of work: Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology x
Description:
Explore the potential of wearable sensor data towards understanding mental health
Can we develop mental health understanding further based on new technologies?
Over the last 3 years several datasets on stress and mental health on diverse populations were
collected at imec. Data types include activity, logs of feelings, stress annotations and physiological
data from wearables (HR, GSR,…). Additionally to our internal development on algorithms for
behavior modeling, we are searching novel ways of mining our datasets and uncover new insights
from the data.
Depending on the background of the candidate and needs of the project, the work will be focused on
experimental design (protocol design and collection of data for small pilot studies) or data science
(analysis of the datasets, propose innovative research questions and mine our dataset to test
hypothesis).
These investigations will have as an outcome novel insights in the mental health state and behavior
of our study populations.
This work is integrated into the digital phenotyping framework of the imec.iChange research
program. You will be working in a multi‐skilled team including junior researchers and Senior
Biomedical Engineers and Data Scientists.
Tasks:Study the problem & provide state‐of‐the‐art analysis of literaturePropose a research
hypothesis on the dataset, apply and validate a technical solution – algorithmic technique.Compare
the proposed solution with other methods.Organize and document dataset.Pre‐process the data and
apply descriptive statistics to data.Deliver documented code.Write technical documentation and
conference paper.Present results to the team (intermediate and final).
Profile:
Master Nanoscience and Nanotechnology
Biomedical Engineering, Computer Science, Psychology (specialization Psychology: Research,
Psychology: Theory and Research, Psychology: Behavioral Data Science or a similar track)
Proficient practical knowledge of one or more of the following languages: Python, Matlab,
R.Familiarity with data analytics and statistical methods.Analytic and critical thinking.
Master Nanoscience and Nanotechnology
Thesis Title: ADC design for compute‐in‐memory based Neural Network accelerators
Promotor: Francky Catthoor
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Stefan Cosemans ,Peter Debacker
Type of work: Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology
Description:
Explore the impact of imec’s technology compute‐in‐memory solutions on tomorrow’s Machine Learning and AI circuits.
Machine learning is transforming our world by increasingly influencing different aspects of our life.
Some of the most powerful machine learning algorithms, called deep learning algorithms or deep
neural networks, demonstrate state‐of‐the‐art performance on a range of tasks. Although
impressive, this high performance comes at a cost: Deep learning algorithms require billions of
computations during inference and even more during training, they can be hard to train, and require
high power and performance, as well as large memories to store the trained weights in the networks.
This makes them hard to use in mobile devices at the edge.
To enable energy efficient yet high performance inference for neural networks, imec is working on
dedicate memory and logic devices that can enable in‐memory computation for convolutional neural
networks (CNN) and long short‐term memory (LSTM) networks. In this case, the trained weights are
stored in the (possibly non‐volatile) memory and the ubiquitous multiply‐accumulate operation is
performed in the memory in an analog fashion. The analog sums of products are then converted back
to digital signals by analog‐to‐digital convertors (ADCs) in the memory periphery.
This project’s goal is to design the ADCs, starting from the specifications for analog in‐memory
compute for neural networks. Since the ADCs will be placed in an array in the periphery of the
memory, there will quite a few physical constraints to match it to the memory arrays. The work will
cover design, circuit, layout and simulations to quantify the ADC trade‐offs in performance, area,
energy and precision for deep learning applications
Master Nanoscience and Nanotechnology
Thesis Title: Voltage‐controlled magnetic anisotropy (VCMA) for high‐speed and low‐power memory application
Promotor: Guido Groeseneken
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Woojin Kim
Type of work: Materials;#Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Voltage‐controlled magnetic anistoropy will enable beyond GHz writing in spintronic devices above STT‐MRAM.
Magnetic random access memory (MRAM) has multiple advantages to serve as next generation non‐
volatile cache memory. Currently, the magnetic states '1' and '0' are processed by spin transfer
torque (STT) effect, which requires large current injection and large writing energy. Such energy
consumption can be potentially reduced by several orders of magnitude with (the assistance of)
Voltage Control of Magnetic Anisotropy (VCMA) effect, a recent discovered magneto‐electric effect
[1].
In a simple system for research, the stack has a MgO/Ferromagnet(FM)/Metal(M) tri‐layers structure.
The MgO/FM interface induces interfacial perpendicular magnetic anisotropy (iPMA) through orbitals
hybridization, which provides the energy barrier for data storage. When a voltage is applied across
MgO, the electron occupation at the interface is modified and the strength of iPMA is changed, so‐
called VCMA effect. The present VCMA effect is however not sufficient for practical application. To
achieve VCMA switching while maintaining enough retention, an improvement of VCMA coefficient
of at least 5 times is required. Improving methods have been widely proposed, but to keep the
essential stack materials for MTJ, adding dusting layer to the MgO/FM interface is more a practical
treatment.
In this topic, student will:Explore the influence of dusting materials to the magnetic properties and
seek for the potential dusting materials to enhance the VCMA effect.Characterization of VCMA
properties with Anomalous Hall Effect through self‐fabricated Hall bar structures.Implement the well
performed stacks into the state‐of‐the‐art MTJ as final demonstration. Depending on interest,
student can also Design new masks for UV lithography and for more reliable AHE measurement
[1] T. Maruyama et al., “Large voltage‐induced magnetic anisotropy change in a few atomic layers of
iron.” Nature Nanotechnology, vol 4, 2009.
Master Nanoscience and Nanotechnology
Thesis Title: Transparent metamaterials made by photolithography for 3D displays
Promotor: Jan Genoe
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Guillaume Croes
Type of work: Materials;#Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Towards 3D video holography ‐ the next generation of display technology
Holography creates true 3D imaging by illuminating a sub‐wavelength patterned structure. While
static holograms are established since decades, video rate holography has not been realized due to
very challenging resolution and bandwidth requirements. However, the ever‐evolving silicon industry
has made it plausible to target both requirements. The proposed Master thesis topic is making use of
state‐of‐the‐art semiconductor technology in realizing real 3D video projection.
In detail, this master thesis topic revolves around a metamaterial cladding to modulate light on a
subwavelength scale in an attached waveguide. This thesis will form a first step in the experimental
realization of such a metamaterial by investigating the optical and electrical material parameters in
dependence of different process conditions and parameters. The work will focus on material growth
(sputtering and PECVD) of transparent layers such as ITO, IGZO, SiO2 and Si3N4, photolithography
and characterization of the created structures by AFM and ellipsometry.
You are going to join an interdisciplinary and multicultural team of highly skilled scientists and
engineers that make the impossible possible. We offer you the opportunity to make your next career
step by working in one of the world’s premier research centers in nanotechnology at its headquarters
in Leuven, Belgium.
You can expect from us a thorough training at the start of your job. We teach you how to work with
the advanced algorithms and equipment available at imec.
We are proud of our open, multicultural, and informal working environment with ample possibilities
to take initiative and show responsibility.
Master Nanoscience and Nanotechnology
Thesis Title: Finite difference time domain simulations for video‐rate holography
Promotor: Jan Genoe
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Guillaume Croes
Type of work: Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
Visualize the 3D display technology of the future with state‐of‐the‐art optical simulators
Holography creates true 3D imaging by illuminating a sub‐wavelength patterned structure. While
static holograms are established since decades, video rate holography has not been realized due to
very challenging resolution and bandwidth requirements. However, the ever‐evolving silicon industry
has made it plausible to target both requirements. The proposed Master thesis topic is making use of
state‐of‐the‐art semiconductor technology in realizing real 3D video projection.
This master thesis addresses the underlying principle of a holographic display, namely the light
propagation in a slab waveguide with a metamaterial cladding. To achieve efficient and precise light
outcoupling it is essential to understand the modulation requirements of the waveguide. Within this
thesis, the candidate is performing time‐dependent modelling of light propagation in various device
designs by finite difference time domain simulations (FDTD) using a commercial software package.
The target is to determine the experimental boundaries for material and design parameters that are
used in the practical realization of the project.
Knowledge of the MATLAB or Python programming language are beneficial.
The candidate is going to join an interdisciplinary and multicultural team of highly skilled scientists
and engineers that work at the forefront of research. We offer you the opportunity to make your
next career step by working in one of the world’s premier research centers in nanotechnology at its
headquarters in Leuven, Belgium.
You can expect from us a thorough training at the start of your job. We teach you how to work with
the advanced algorithms and you gain a good inside on the experimental part related to your
computational work.
Master Nanoscience and Nanotechnology
Thesis Title: Design of a low‐noise amplifier for flexible neural probes based on metal oxide semiconductors
Promotor: Jan Genoe
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Horacio Londono Ramirez, Jan Genoe
Type of work: Modeling;#Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology
Description:
Help building novel devices to understand the brain
Electrical neural recordings are based on the measurement of voltage differences by an electrode
located in the proximity of neurons. Currently at Neuro‐Electronics Research Flanders (NERF), in
collaboration with imec, we are working on developing large‐scale, high‐density, flexible neural
probes that can offer high spatial resolution and spatial sampling coverage of the brain tissue. To
overcome some limitations of passive neural probes, such as limited electrode count and higher
noise levels, we have decided to employ active electronic circuits that allow the multiplexation and
amplification of the neural signals.
The flexible neural probes we are developing are based on thin‐film transistors (TFTs) that utilize
metal oxide semiconductors as the channel layer. These devices have attractive characteristics, such
as high uniformity, high electron mobility (10 – 50 cm2/V‧s), and their fabrication at low
temperatures on plastic substrates. However, they also present some drawbacks, such as their higher
intrinsic low‐frequency noise compared to silicon devices.
For our application, it would be desired to have an amplifier in close proximity to the recording
electrode in order to increase the signal‐to‐noise ratio. With this project, we would like to explore
the feasibility of using an amplifier based on metal oxide semiconductors for the measurement of
low voltage neural signals. The thesis includes the design, simulation and characterization of a low‐
noise amplifier that meets the requirements for neural probes, such as low noise and low area
footprint.
Previous experience with analog design is desired but not required.
Master Nanoscience and Nanotechnology
Thesis Title: Experimental characterization of selector for high‐density memory
Promotor: Jan Van Houdt
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Andrea Fantini, Daniele Garbin
Type of work: Experimental work (electrical characterization (70%)), and simulation and modeling (~10%), up‐to‐date literature on selectors (~20%)
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology
Description:
Hands‐on experience on solutions for industry's high density memory challenges
These last years, the demand for high‐density and fast‐access non‐volatile memories has been
steadily increasing. However, there is no existing product satisfying this need today, between the fast
but volatile DRAM technology and the high‐density but slow‐access 3D Flash technology. Hence, the
‘Storage Class Memory’ (SCM) has emerged to fill this speed‐density gap in the memory hierarchy,
enabling the development of future computing and/or storage systems by providing a fast and cheap
memory alternative.
Several emerging memory concepts, like resistive random‐access‐memory (RRAM), phase‐change
RAM (PCRAM), or Magnetic RAM (MRAM) concepts hold the promise of showing both speed and
density specifications of SCM. On the other hand, in today’s memory array cross‐point architecture,
the main factor limiting high‐density integration is the “sneak path” current or capacitive issue during
read and write programming of the memory device. To overcome this issue a two‐terminal access
device (selector) in series with the memory element is required to enable addressing individual
memory cells in an array without disturbing the others. This select device must be scalable, have high
rectification ability, following the operation mode of the memory cell (typically bipolar) and allow for
high drive current densities, required to switch the memory element.
To fulfill these requirements, many selector concepts are currently under investigation. Amongthem,
imec has recently advanced in the development of the chalcogenide‐based Ovonic Threshold Switch
(OTS) technology. For high enough voltages, OTS technology relies on the appearance of a negative
differential resistance (NDR) branch in the selector I‐V characteristic to grand high driving capability
and large non‐linearity. These performances come at the expense of relatively high voltage and
voltage spiking across the memory element. Although excellent potential was demonstrated for this
technology [1,2], the switch mechanism is not well understood. Alternative selector concepts,
generally based on the rectifying behavior of Schottky contact, are also investigated in view of close
integration with MRAM technology. Indeed, such selectors, characterized by a diode‐like behavior,
Master Nanoscience and Nanotechnology
generally avoid OTS voltage issue which is critical for a low voltage, low power technology such
MRAM. Imec has recently started a broad research activity on the topic exploring Mixed Ionic
Electronic Conductors (MIEC), Metal‐Semiconductor‐Metal (MSM) diode, novel vertical IGZO‐based
diode.
The purpose of this MSc is to investigate the electrical performances and reliability properties of the
above‐mentioned selector devices by studying how composition, material variations, and integration
processes, impacts critical device parameters in order to identify knobs for device improvement. To
fulfill these goals state‐of‐the art measurement tools and data analysis software will be adopted. The
MSc work will be carried out in the frame of the Imec Industrial Affiliation Program (IIAP), thus in
close relation with industrial partners and within a team consisting of experts in various fields
(processing, integration, physical characterization, modeling, reliability...).
References: [1] B. Govoreanu et al., VLSI 2017, [2] S. Clima et al., IEDM 2017.
Master Nanoscience and Nanotechnology
Thesis Title: Ferroelectric device characterization for memory applications
Promotor: Jan Van Houdt
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Nicolo Ronchi, Jan Van Houdt
Type of work: Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
At imec we are looking at ferroelectric memories based on hafnium oxide, join us in the journey towards the new memory technology.
Ferroelectricity has attracted the attention of scientists and engineers in the effort of using the
polarization state of a ferroelectric material to encode and store information. However, it was only in
recent years that the use of ferroelectricity for non‐volatile memory (NVM) applications got a major
step forward thanks to the discovery of ferroelectricity in hafnium oxide (HfO2).
Hafnium oxide has been widely studied as replacement of the silicon oxide for the gate dielectric in
the MOS stack. On top of that, it has been demonstrated that a small amount of dopant, a capping
layer and a thermal anneal can induce the transformation of the dominant monoclinic phase of the
HfO2 lattice structure into a non‐centrosymmetric orthorhombic phase, which is the origin of its
ferroelectric properties. Therefore, a material like that, which is CMOS compatible and shows
ferroelectric behaviour, paves the way to a new generation of faster and less power‐demanding
memories.
At imec we are working towards the understanding and the optimization of the hafnium oxide for
memory application. We are looking to the fundamental properties of the material through test
structures like simple planar capacitors. And we study the electrical behaviour and reliability of fully
processed Fe‐FET (Ferroelectric FET) with hafnium oxide as gate dielectric. Moreover, at imec we
proposed and demonstrated for the first time the use of polycrystalline silicon (poly‐Si) as electrodes.
Such study provides an important input for 3D applications, aiming at disrupting the 3D NAND
market.
During your internship you will be member of the memory device team, and your characterization
work will be helpful for the understanding and development of state‐of‐the‐art ferroelectric
technology. You will have the chance to work with device characterization, reliability and integration
engineers.
Master Nanoscience and Nanotechnology
We are looking for candidates with:Excellent communication skills, both oral and writtenAbility to
independently adapt & learnBackground in solid state physics and electronicsKnowledgeable in
software tools for data analysis (Python, Matlab/Octave, Excel...)
Constitute a plus but not a requirement:Experience on measurement tools for semiconductor device
characterization (e.g. parameter analysers, oscilloscopes, etc.)
Master Nanoscience and Nanotechnology
Thesis Title: Selective p+ emitter formation for n‐type silicon solar cells
Promotor: Jef Poortmans
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Sukhvinder Singh
Type of work: Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
A one‐step solution for improving the emitter of silicon solar cells
Presently, the majority of commercially available PV modules are made using front junction silicon
solar cells based on p‐type Czochralski (Cz) substrate with full aluminum BSF and more recently with
local rear contacts (PERC – Passivated Emitter and Rear Contact ‐ cells). This transition is propelled by
the need to reduce metallization related recombination and optical losses on the rear side of the cell
thereby improving the efficiency. In order to reduce recombination losses from Ag front
metallization, various methods to form phosphorus based selective emitter have been implemented
in p‐type solar cells. These selective emitter technologies feature heavy phosphorus doping under
screen printed contacts and shallow doping elsewhere. These offer the advantages of having both a
low specific contact resistivity and low recombinations for passivated surface and metal contacts
without compromise.
The next technology transition towards cells based on n‐type substrates is starting. Solar cells based
on n‐type substrates offer advantages such as absence of light induced degradation, higher tolerance
to many transition metal impurities (including Fe, Ti, V, Cr) and much lower sensitivity to the
presence of the laser induced dislocations. The share of n‐type silicon based solar cells is expected to
increase in the coming decade according to the International Technology Roadmap for photovoltaics
(ITRPV). For front junction n‐PERT solar cells, a cost effective and simple selective emitter technology
for boron doping needs to be developed. A new idea (currently under study for a potential patent
application) will be investigated to obtain both a highly doped p++ region in the contact area and a
more lowly doped p+ region in the passivated area in a simple, industrially applicable manner.
Various parameters of these 2 different regions will be characterized. These include:Sheet resistance
of highly and lowly doped boron regionsRecombinations of passivated regions characterized by dark
saturation current density,Contact resistivity to highly doped boron regions Recombinations of
metallized regions characterized by dark saturation current density
Master Nanoscience and Nanotechnology
Thesis Title: Development of poly silicon based passivating contacts using sputtering
Promotor: Jef Poortmans
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Sukhvinder Singh
Type of work: Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
A new simple Si sputtering technology to improve the solar cells of the fut
Silicon based solar technology has made tremendous improvements in the last decade. In order to
boost the efficiency of silicon solar cells to come closer to the theoretical efficiency limit, carrier
recombination specifically at the metal contacts needs to be further reduced. To tackle this
challenge, contact passivating structures based on polycrystalline silicon (poly‐Si) layers have been
investigated in recent years. The majority of the poly‐Si based passivating contacts have been
achieved by chemical vapor deposition techniques like LPCVD or PECVD (Low Pressure or Plasma
Enhanced Chemical Vapor Deposition). These techniques involve the use of hazardous materials (e.g.
silane, phosphine etc.) and high temperature processing. Further, these poly‐Si layers have been
successfully implemented on the rear side of the solar cells, although their implementation on the
front side of the cells is more challenging. This is due to the significant light absorption by these
layers, reducing the available light for carrier generation in the active part of the solar cell. In order to
use these layers on the front side, the patterning of poly‐Si layers is required, such that these layers
remain only under the metal contacts. This requires ex‐situ processing of masking and etching of
unwanted poly‐Si regions.
Very recently polysilicon layers deposited by physical vapor deposition methods with similar
passivation quality (to CVD methods) haven been proposed. These methods include magnetron
sputtering or electron‐beam evaporation. Using these techniques, poly‐Si layers could be deposited
at room temperature without the use of any harmful precursor. Doped (n or p‐type) poly‐Si layers
could be deposited by means of a suitably doped silicon target without the need of
phosphine/diborane gases or ex‐situ doping. In addition, sputtering allows single‐side poly‐Si
deposition unlike LPCVD techniques. Magnetron sputtering is especially attractive for various reasons
including a) the possibility to deposit layers over a large area with very good uniformity, b) conformal
deposition over rough surfaces, c) maintaining the composition of the target material in the
deposited layer d) the possibility to use low cost polycrystalline target material, and e) high
throughput for thin layers. Importantly, the use of room temperature and directional deposition for
sputtering enables the use of an in situ mask for patterned deposition. This could enable the easy
Master Nanoscience and Nanotechnology
implementation of polysilicon layer at the front side of the solar cell without ex‐situ pattering steps.
Such patterned deposition of poly‐Si by PVD methods has not been demonstrated yet.
In order to study the viability of sputtering of poly silicon for the application of passivating contacts,
the following issues need to investigated in this thesis:Crystalline quality of sputtered layers in terms
of amorphous content, crystallite size and defects.Doping characterization of polysilicon layers (in‐
situ and ex situ) by means of sheet resistance, SIMS or ECVSurface and contact passivation by doped
poly‐Si layers.Patterning of the layers by masking and assessment of the contamination coming from
the deposition process of patterned layers
Master Nanoscience and Nanotechnology
Thesis Title: Co‐plating of polysilicon‐based passivating contacts in bifacial solar cells
Promotor: Jef Poortmans
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Maria Recaman Payo
Type of work: Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Towards the theoretical efficiency limit in silicon solar cells by means of a novel co‐plated passivating contact approach
In the last years, PV manufacturing has seen a shift from Al‐BSF (Back Surface Field) to PERC
(Passivated Emitter and Rear Contact) solar cells to drive down the recombination current at the rear
surface of the cells. Other shifts, e.g. transition from multicrystalline to monocrystalline material and
from p‐type to n‐type base doping, are also taking place to minimize recombination currents in the
bulk of the device. However, today the weakest point of crystalline silicon solar cells is still the
recombination at the semiconductor/metal contact interface. To maximize the open circuit voltage
of silicon solar cells and approach the thermodynamic efficiency limit, commonly known as Shockley‐
Queisser limit, a lot of the recent research in the field of crystalline silicon photovoltaics has focused
on mitigating the recombination losses at that interface.
In crystalline silicon solar cells, this problem can be effectively tackled with the implementation of
polysilicon‐based passivating contacts. A polysilicon‐based contact consists of the stack 'interfacial
oxide/n‐type or p‐type polysilicon thin‐film/metal' at the un‐doped or doped semiconductor surface
to be contacted. As a passivating contact, this structure must be designed to shield the minority
carriers from the recombination sites at the metal contact while enabling a good transport and
collection of the majority carriers to that contact. Thus, the ideal passivating contact would feature
minimum minority carrier recombination as well as low specific contact resistivity.
Polysilicon‐based passivating contacts show a lot of potential, but every effort must be taken to
retain the excellent passivation quality during metallization. The standard metallization technique in
the industry consists of screen‐printing. However, screen‐printing offers 2 main disadvantages: (a) it
has a quite high Ag usage, and (b) it is not ideal for maintaining the polysilicon passivation quality in
the metallized regions due to the etching of the poly‐Si layer during the subsequent firing step of the
contacts.
Master Nanoscience and Nanotechnology
Therefore the main purpose of this research work is the development of a soft plating metallization
process for polysilicon passivating contacts. On the one hand, the plating would limit the Ag
consumption. On the other hand, it has the potential to minimize the metallization‐induced damage
compared to screen‐printing and, therefore, to maintain the passivation quality while enabling the
use of thinner polysilicon layers.
The research work realized during this internship will focus on different aspects of the plating of
polysilicon‐based contacts, among which:Improvement of the first step of the plating sequence,
immersion plating of nickel, which accounts for the main losses in the passivation quality during the
metallization process itself.Co‐plating or, in other words, simultaneous plating of both n‐type and p‐
type polysilicon contacts in bifacial solar cell structures.Quantification of the metallization
performance of plated polysilicon contacts based on: (1) recombination losses by means of PL‐QSSPC
(Photo‐Luminescence‐Quasi Steady State Photoconductance Decay), (b) specific contact resistivity,
and (c) metal adhesion.Material characterization (SEM, TEM, ECV, EDS...) of the polysilicon contact
after metallization
Master Nanoscience and Nanotechnology
Thesis Title: Understanding and modelling the electronic transport properties of Poly‐Si Passivating Contacts by Low‐Temperature I‐V Characterization
Promotor: Jef Poortmans
Faculty/Research Group: Engineering science/ESAT/imec
Daily Supervision: Meric Firat
Type of work: Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Demystifying the carrier transport through novel passivating contact layers
With the challenges posed by the global warming and an ever‐increasing energy demand of the
world’s population, transitioning to energy harvesting from renewable sources is an essential step to
be taken for a sustainable future. While photovoltaics (PV) show great promise for facilitating this
transition with its steadily increasing installed power generation capacity and by allowing an already
low levelized‐cost‐of‐electricity, further price reduction is necessary to raise the share of PV in the
global energy mix and to replace the fossil fuels. One of the most viable means for such price
reduction is improving the power conversion efficiency of the state‐of‐the‐art solar cells produced by
the PV industry. With its expertise in PV and cutting‐edge infrastructure, Imec’s PV department
works towards developing highly‐efficient solar cells fabricated by methods feasible for the PV
industry.
This master’s thesis is concerned with investigating polycrystalline silicon (poly‐Si) passivating
contacts for solar cells, a contact structure that recently gained a lot of attention and is poised to be
included in industrial solar cells in the near future. These contacts consisting of a stack of an ultrathin
silicon oxide (SiOx) and heavily‐doped poly‐Si have been shown to minimize the recombination of
minority carriers at the metal‐silicon interface after their generation by the absorption of sunlight.
Simultaneously, these contacts allow uninhibited extraction of the majority carriers to an external
circuit for electricity generation. Thus, these contacts reduce both the recombination and resistive
losses, thereby yielding higher solar cell efficiencies.
Even though very high efficiencies up to 26.1% were demonstrated with cells incorporating poly‐Si
contacts, the mechanism of the excellent passivation provided by these or specifically of the carrier
transport through these structures are still disputed. There is, in fact, still no consensus whether
current flow through the pinholes in the SiOx or tunneling through the SiOx layer is dominant. During
this thesis, samples with a metal (rear)/c‐Si (substrate)/SiOx/poly‐Si/metal (front) stack will be
fabricated in Imec’s clean room, the temperature‐dependent dark I‐V characteristics of the samples
Master Nanoscience and Nanotechnology
will be measured at a range of very low to higher temperatures using equipment at Imec. During the
analysis, the I‐V characteristics will be investigated to find out if features characteristic to tunneling
are present. A similar study to determine the specific contact resistance as a function of temperature
will follow, based on temperature‐dependent transfer length measurements, on samples featuring
contact pads of different areas. All in all, both studies will provide fundamental insight into the
current flow through poly‐Si passivating contacts.
The student is required to have a solid background in materials and is expected to be motivated in
semiconductor device characterization. During this thesis, the student will acquire fundamental
understanding about silicon solar cells and poly‐Si passivating contacts by a literature study.
Moreover, the student will gain valuable experiences in device characterization and fabrication and
thus be well‐positioned for their future endeavors.
Master Nanoscience and Nanotechnology
Thesis Title: Effect of biological environments and cell cultures on electronic CMOS chips.
Promotor: Liesbet Lagae
Faculty/Research Group: Science/Physics/imec
Daily Supervision: Beatrice Miccoli ;Dries Braeken
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
At the frontier between electronics and biology: how cell cultures and bio‐compatible packaging affect the electronic devices.
Complex cellular dynamics can be unveiled by the interaction of living cells with electronic devices.
Nevertheless, biological environments can represent a harsh environment for electronics. During the
Master Thesis/Internship activity, the candidate will use the high‐throughput CMOS multi‐electrode
array developed by Imec to characterize the variation of the electrodes impedance after different
bio‐chemical treatments and packaging. At first, the candidate will characterize how different
thermal/chemical treatments and materials used to package the chip can affect the electrical
properties of the electrodes. Afterword, the electrodes impedance will be monitored at different
time points during multiple biological experiments also involving the culture of living cells on‐chip.
Master Nanoscience and Nanotechnology
Thesis Title: CMOS‐based spatially single‐cell gene editing and monitoring
Promotor: Liesbet Lagae
Faculty/Research Group: Science/Physics/imec
Daily Supervision: Bastien Duckert
Type of work:
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics x
Bionanotechnology x
Description:
Single‐cell sensing and transfection with non‐viral vectors could be a key enabler of scalable techniques for cell therapy manufacturing, one of the hottest focus areas in pharma. In this project, A CMOS‐based multielectrode array will be used to selectiv
This project is centred around a CMOS chip design featuring densely spaced, individually addressable,
subcellular‐sized electrodes for cell electrical analysis and for the delivery of molecules in adherent
cell lines using electrical pulses (electroporation). A wide set of electrical pulse parameters will be
screened to optimize cell survival and the efficiency of delivery of fluorescent molecules as measured
by confocal microscopy combined with image processing. After successful delivery of plasmids into
cells, the project will focus on the delivery of gene‐editing molecules.
Master Nanoscience and Nanotechnology
Thesis Title: Study of the kinetics of chemical reactions in nano‐confined volumes
Promotor: Stefan De Gendt
Faculty/Research Group: Science/Chemistry/imec
Daily Supervision: Guy Vereecke
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Discover a different aspect of nanochemistry.
In semiconductor manufacturing, new generations of devices have entered the nano‐world, with
critical dimensions of the order of 10 nm. Many process steps are still performed using aqueous
chemistries, e.g. wet etching of materials for patterning and wet cleaning of surfaces. New transistor
geometries are vertical, with the generation of 1‐D and 2‐D nano‐confined spaces (Fig. 1). The
physico‐chemical phenomena affecting the kinetics of chemical reactions in nano‐confined volumes
are not well understood. The only well‐documented mechanism implies variations in concentrations
of ions caused by surface charges and the overlap of electrostatic double layers (EDL). Differences in
etch rates observed by Okuyama et al. [1] and Vereecke et al. [2] could be qualitatively understood
within that frame. However, changes in water properties, such as the increase in proton mobility, call
for other mechanisms [3]. A recent study performed at imec has shown that chemical equilibria in
nanochannels were affected by water structuring [4]. In this project, we investigate the kinetics of
chemical reactions in nano‐channels/‐holes with 1‐D/2‐D confinement. A first reaction is the etching
of SiO2 by dilute HF solutions that still finds many uses in semiconductor manufacturing. The student
typically performs the wet etching tests, the data treatment of SEM (scanning electron microscopy)
pictures generated by operators in the pilot‐line, and a kinetic analysis. Results are compared to etch
rates obtained on planar films with film thickness measured by ellipsometry. A second reaction is a
click‐reaction involving a SAM (self‐assembled monolayer) deposited on the structures, which is used
in the functionalization of biosensors. Here the kinetics are studied using ATR‐FTIR (attenuated total
reflection Fourier‐transform IR spectroscopy). The method has already been developed and tested
on nano‐channels. Typically, the student prepares the ATR crystals (polishing), performs the FTIR
tests using a home‐build liquid cell, as well as the data treatment and interpretation. Kinetic studies
are complemented by the determination of the pH and water structuring in the nano‐structures by
ATR‐FTIR and of the surface potential by a streaming technique. The content of the student project
will be adapted depending on the progress of our research. [1] A. Okuyama et al., Solid State
Phenom. 219 (2015) 115‐118; [2] G. Vereecke et al., Microelec. Eng. 200 (2018) 56–61; [3] K.
Mawatari et al., Anal. Chem. 86 (2014) 4068‐4077; [4] G. Vereecke et al., Solid State Phenom. 282
(2018) 182‐189.
Master Nanoscience and Nanotechnology
Thesis Title: Understanding the light‐matter interaction of photoresist for EUV lithography
Promotor: Stefan De Gendt
Faculty/Research Group: Science/Chemistry/imec
Daily Supervision: Danilo De Simone
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
You will work together with a team of lithography specialists within an international environment in a modern 300 mm semiconductor cleanroom using advanced tools at the leading‐edge technology. You will be trained in commercial and entrepreneurial skills
In the past few decades, photolithography has been a key industrial process to scale down and
fabricate integrated circuits. The process of scaling down has been mainly through continuous
shortening of wavelength of the exposure tools and development in photoresists chemistry.
However, as the current process has reached its limits, further improvements are necessary to
continue the miniaturization trend. This can be done by reducing the source wavelength from
current 193 nm (Deep UV) to 13.5 nm (Extreme UV), to print features of sub‐5 nm with the process
called Extreme ultraviolet (EUV) lithography.
EUV lithography, which is still in research phase, is deemed to be the future of semiconductor
industry. But in order to push this to high‐volume‐manufacturing (HVM), better performing
photoresists are needed. Current EUV photoresist platforms have suboptimal performance with
problems like low resolution, low sensitivity and high line‐edge‐roughness. And to optimize and
synthesize better EUV photoresist platforms, improved understanding of the light‐matter
interactions is needed. This can be achieved by exposing simple chemical platforms with EUV
radiation and analyzing the change in the chemistry.
In the frame of this project, student will expose different lithography‐based chemical platforms (such
as simple polymers, monomers of resist materials, etc.) in EUV full field scanner, and analyze the
change in chemistry using different characterization tools (such as Infrared (IR) spectroscopy, gel
permeation chromatography (GPC), Raman spectroscopy and Elastic Recoil Detection (ERD)). This will
improve his/her understanding of the lithographic process and various chemical characterization
techniques. The student will work in an international environment with state‐of‐the‐art tools and
interact with multiple partners present at imec.
Master Nanoscience and Nanotechnology
To effectively complete the research, student should have prior knowledge about different chemical
characterization techniques, and basic knowledge about radiation chemistry. He/she should be able
to plan design of experiments and work effectively on imec's state‐of‐the‐art tools.
Master Nanoscience and Nanotechnology
Thesis Title: Ultra‐thin film resist characterization for high‐NA EUV lithography
Promotor: Stefan De Gendt
Faculty/Research Group: Science/Chemistry/imec
Daily Supervision: Danilo De Simone
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
You will work together with a team of lithography specialists within an international environment in a modern 300 mm semiconductor cleanroom using advanced tools at the leading‐edge technology. You will be trained in commercial and entrepreneurial skills
Electronics offer innovative ways to communication, work and live and integrated circuits (ICs)
appear in various applications in our daily lives. Over the past five decades, the ICs have seen a
continuous increase of computing power while at the same time increasing performance. To achieve
this, the number of transistors on the ICs must increase per unit area. This trend, known as Moore's
law, predicts that the number of transistors on an IC doubles every two years. In lithography, the
smallest feature size, or critical dimension (CD), possible depends on the wavelength of the source,
the numerical aperture (NA) of the optical system and a correction factor 1 that accounts for non‐
ideal behavior. The miniaturization of feature sizes has been achieved mainly by shortening the
wavelength of exposure tools. Currently, extreme ultraviolet light (EUV), with a wavelength of 13.5
nm, is a route to become the source of the next generation lithography that enables more powerful
chips. The further development of EUV lithography (EUVL) is heavily based on implementing the so‐
called high‐NA EUVL, in which the current NA‐value of 0.33 is increased to 0.55 to get even better
resolution. In anticipation of the high‐NA technology, the focus is now shifted towards resist
development.
The resist has an important part to play in every lithography process by transferring the information
present on the mask (e.g. all the patterns) to the substrate when irradiated with light. Throughout
the optimization of lithographic technologies, a first challenge is the continuous downscaling of the
film thickness of the lithography materials (resist and underlayers). The reason for this is twofold in
that going to smaller wavelengths (i.e. higher energy electrons) means that the absorption of the
radiation can only be kept homogeneous by reducing the film thickness. Therefore, because of the
continuous downscaling envisioned for high‐NA technology, both photoresist film thicknesses (10 –
35 nm) and underlying film thicknesses (3 – 20 nm) are approaching the ultra‐thin film regime, at and
beyond the physical limits of bulk‐phase behavior. Because of this, the interface interactions
Master Nanoscience and Nanotechnology
between the different layers (e.g. resist and underlayer) become increasingly dominant in ultra‐thin
films. Therefore, a second challenge appears to be related to the inherent material characteristics
that change in function of the film thickness.
This project will explore the limitations of resists with respect to film thickness and focus on the
characterization of changes that happen with going to the ultra‐thin film regime necessary for high‐
NA. This work will significantly contribute to the understanding of resist interaction and changes with
respect to film thickness and will lead to help the design of new photoresists for EUV lithography.
The student will work in the international research facility of imec interacting with multiple
equipment and material partners. To accomplish this task, the student must have a chemistry, nano‐
technology or materials science background, basic knowledge on statistics and a liking for the design
of experiments, their execution and data analysis.
Master Nanoscience and Nanotechnology
Thesis Title: Defect reduction in directed self‐assembly processes
Promotor: Stefan De Gendt
Faculty/Research Group: Science/Chemistry/imec
Daily Supervision: Hyo Seon Suh
Type of work: Materials;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Advanced lithography using self‐assembling materials enables to print smaller pattern with reduced cost ‐ one of scaling booster!
With scaling of electronic devices, printing smaller structures on the chip has become more and more
complex and costly. For a few years, directed self‐assembly (DSA) has been considered as a viable
and low‐cost alternative and complementary patterning option for keeping the down‐scaling alive in
the coming years, while ensuring an economic benefit to the silicon industry. Instead of upgrading
lithography tools and imaging materials, DSA process uses block copolymers that can spontaneously
form 5 ‐ 30 nm features to print fine pattern. Several figures of merit have been identified and put
forward as major checkpoints to assess the relevance of DSA processes for high‐volume
manufacturing environment; defectivity, roughness, placement accuracy, repeatability, and cost of
development. Your project will focus on one of the main factors that would make or break the show
for DSA to be adopted by the IC manufacturers/production fabs – reducing the number of defects on
the wafer after DSA and to be able to identify their root causes. The main goal of your Masters’
thesis/internship is to support and enable the defect reduction strategies of the DSA program at
imec. From this project, you will first get accustomed to advanced lithography tools in our 300 mm
wafer production line environment. As you get familiar with the DSA process and defect inspection
techniques, the focus of your study will shift more towards automated defect review/classification
capabilities using a 300 mm in‐line review SEM and a dedicated software. A big part of your tasks will
also include running the weekly defectivity monitor flow and analyzing the data from it, which acts as
the baseline to assess the impact of the various defect reduction approaches we adopt.
[Generic DSA literature]
1) P. Delgadillo et al., Defect source analysis of directed self‐assembly process (DSA of DSA), Proc. of
SPIE Vol. 8680, 86800L, 2013. doi: 10.1117/12.2011674
2) P. Delgadillo et al., All track directed self‐assembly of block copolymers: process flow and origin of
defects, Proc. of SPIE Vol. 8323, 83230D, 2012. doi: 10.1117/12.916410
Master Nanoscience and Nanotechnology
Thesis Title: Integrated microfluidic valves for Lab‐on‐chip applicaiton
Promotor: Paul Heremans
Faculty/Research Group: Engineering Science/ESAT/imec
Daily Supervision: David Cheyns, Boshen Liang
Type of work: Materials;#Devices;#Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology x
Description:
This research aims to address one of the open research domains in microfluidics – fluid isolation – by developing an integrated and efficient microvalve on Imec’s microfluidic platform.
First developed in 1970s, Lab‐On‐Chip (LOC) devices have gained both academical and commercial
interests. With multiple laboratory functions integrated on a single chip, LOC has provided a
promising way to reshape the global health system by supplying cheap and reliable medical
diagnosis apparatus whenever and wherever they are needed.However, compared to fast‐
developing CMOS industry where memory and logic components are scaled towards smaller and
smaller dimensions, few progresses have been made in scaling medical diagnosis devices into
portable manners. One of the main obstacles is that current techniques for fluid pumping, isolation
and analysis require components based on different physics, made on different platforms and
packaged in different formats. This research aims to address one of the above challenges – fluid
isolation – by developing an integrated and efficient microvalve on Imec’s microfluidic platform. The
developed microvalve should be leakage tight and have fast switching speed. It needs to be
compatible with rest parts of the system and should show its upscaling potential for mass
production.This multidisciplinary topic tackles different aspects and includes the following steps:
literature study to obtain a state‐of‐the‐art overview of the field, material screening, device
fabrication and characterization. During the project the student will interact with different experts
from fields in electronics, biology and materials. Hands‐on cleanroom experience and simulations
(COMSOL Multiphysics®/ANSYS®) knowledge can be expected. In the end, the student should give a
presentation and write a report/thesis. Depending on the results a journal publication should be
prepared.
Master Nanoscience and Nanotechnology
Thesis Title: Optical design of infrared thin‐film photodetectors
Promotor: Paul Heremans
Faculty/Research Group: Engineering Science/ESAT/imec
Daily Supervision: David Cheyns , Epimitheas Georgitzikis
Type of work: Materials;#Devices;#Modeling;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Boosting the performance of colloidal quantum dot based infrared sensors using the combination of experiments (metrology) and simulations (python).
Most modern infrared photodiode arrays combine a silicon based backplane with an infrared
absorbing material. These thick substrates are flip‐bonded to the backplanes, which limits the pixel
resolution. Processing directly on top of a silicone backplane will increase the pixel density and
decreases the production cost. At the same time, this solution will create options to fabricate
flexible photodetectors, processing the infrared materials on sheets in combination with flexible
electronics. In the recent years, colloidal quantum dots received an increasing amount of attention
due to their opto‐electronic properties, with applications in light‐emitting diodes and photovoltaics.
Once the size of a nanoparticle reaches the exciton Bohr radius, quantum confinement effects will
affect both the light absorption and emission spectrum of the material. By starting from a bulk
material with infrared absorbing properties, one can obtain visible or infrared absorbing quantum
dots. The quantum dots are typically surrounded by organic ligands that stabilize the material. The
material can be made soluble by selecting the correct ligand. Moreover, these ligands can limit the
large surface recombination inherent to the small quantum dots. The focus of this internship will be
on the optical aspects of such an IR absorbing stack. The involved layers will be measured using
ellipsometry to obtain the complex index of refraction, depending on the ligand termination. Using a
custom build transfer matrix based optical simulator, you will determine the best stack layout for
the highest performance. These stacks will be matched with experimental values. If applicable, the
student will receive training on the relevant processing and characterization tools. After a short
introduction to the facilities, an independent investigation is expected with the focus on short‐term
research goals.
Master Nanoscience and Nanotechnology
Thesis Title: Reliability assessment of MEMs device for wearable / flexible electronics
Promotor: Paul Heremans
Faculty/Research Group: Engineering Science/ESAT/imec
Daily Supervision: David Cheyns, Yongbin Jeong
Type of work: Materials;#Devices;#Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Investigate the electrical /mechanical reliability of cutting‐edge PMUT (Piezoelectric Micromachined Ultrasound Transducer) devices for large‐area electronics applications
Traditional microphone units focus on human perceptible sound waves (between 20 Hz and 20 kHz).
Acoustic development also focuses on ultrasound, compromising the frequencies above the
detection limit of the human ear (from 20 kHz to several GHz). These sound waves can be used for a
wide variety of applications, including medical imaging, therapeutic treatment, non‐destructive
testing and position localization of objects.
In the past years, imec has led the development of novel technologies that promise to meet all
requirements of future micro‐sound systems. This internship will focus on the electrical /mechanical
characterization and reliability assessment of cutting‐edge PMUT (Piezoelectric Micromachined
Ultrasound Transducer) devices for large‐area electronics applications, to outline the impact of
materials and integration schemes on the actuation performance and to identify the root causes of
reliability failures for proposing further improvement of the stacks. You will be trained in the use of
advanced electrical / mechanical characterization tools and you will carry out reliability assessment
by using state‐of‐the‐art characterization techniques, benchmarking different PMUT technologies.
Careful and critical data analysis will be an essential part of the task, as well as proposing alternative
characterization strategies for effective reliability assessment. You will work in a dynamic R&D
environment and you will be expected to regularly present and discuss your results with a team of
experts in the field. Therefore, a proficient use of English is also required. A good command of data
analysis software (Matlab, Origin, Python, etc.) will be considered a strong plus.
Master Nanoscience and Nanotechnology
Thesis Title: High brightness perovskite light emitting diodes
Promotor: : Paul Heremans
Faculty/Research Group: Engineering Science/ESAT/imec
Daily Supervision: Azhar Fakhar Uddin, Weiming Qiu
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Work on the next generation of high‐efficiency and high‐brightness thin film light sources.
Over the past years, light emitting diodes (LEDs) have become highly efficient and economic light
sources, replacing established technologies in lighting and display technology. LEDs based on hybrid
perovskites can be part of the next generation of solid state light sources. Nowadays, they
demonstrate external quantum efficiencies at par with well‐established organic LEDs or quantum‐dot
LEDs. However, at high current densities their efficiency drops which is partly due to the electrical
characteristics (conductivity, energetics etc.) of the charge transport/injection layers. This project
aims to explore metal oxide based inorganic charge transport/injection layers for our in‐house
developed hybrid perovskites and characterize their performance via a series of electrical and
physical techniques. The project will particularly focus on attempts to push high electrical current
densities in the order of several 100 A/cm2 into the perovskite LEDs and analyze its influence on the
performance parameters. A success of this project is realizing the next step towards low‐cost, high‐
efficient and high‐brightness thin film light sources, opening the window for a range of new
miniaturized applications in medicine, analytics, sensors, displays and many more.
You are becoming a member of an interdisciplinary and multicultural team of highly skilled scientists
and engineers that work towards the next generation of technology. At imec’s headquarters in
Leuven, Belgium, we offer you the opportunity to make your next career step by working in one of
the world’s premier research centers in nanotechnology.
You can expect from us a thorough training at the start of your job. We teach you how to work with
the advanced algorithms and equipment available at imec.
We are proud of our open, multicultural, and informal working environment with ample possibilities
to take initiative and show responsibility.
Master Nanoscience and Nanotechnology
Thesis Title: Engineering of battery interfaces using thin‐film model systems
Promotor: Philippe Vereecken
Faculty/Research Group: Bioengineering Science/imec
Daily Supervision: Louis De Taeye
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Most battery performance issues trace back to poor interfaces
Li‐ion batteries will be the energy storage system of choice for both small ‐and large scale
applications in the foreseeable future. While the technology has been around since 1991, there is still
a lot of improvement to be made before the systems reach their full potential. Today, most
improvement is found at the interface between the electrolyte and the electrode. In Imec, these
interfaces are studied using thin‐film model systems. These Thin‐film batteries (1) can be integrated
into 3D structures to create high power and high capacity microbatteries and (2) serve as a model
system used to characterize interfaces in the battery. In this thesis, dual conductive materials are
characterized using model systems. In a first phase, these materials will be deposited on top of inert
current collector substrates to measure their electronic and ionic properties. In a second phase, they
will be deposited on Li‐ion battery electrodes to characterize the effect of these coatings on battery
performance. Optionally, Finite element modeling can be done for analysis of Lithium dynamics in
the dual conductive materials
Master Nanoscience and Nanotechnology
Thesis Title: Heterogeneous conductivity enhancement in solid state electrolytes for all‐solid‐state lithium ion batteries
Promotor: Philippe Vereecken
Faculty/Research Group: Bioengineering Science/imec
Daily Supervision: Simon Hollevoet
Type of work: Materials
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Making Li‐ions move faster at interfaces for novel solid electrolytes
Lithium‐ion batteries (LIBs) with a solid‐state electrolyte can potentially solve two key limitations of
today's LIBs with liquid electrolytes, namely, safety issues due to the flammability of the electrolyte
solution and cycle life time issues due to unwanted side‐reactions at the solid/liquid interface. The
improved safety and potentially extended lifetime of solid‐state LIBs makes them highly desired,
however, finding a solid‐state electrolyte with Li+ conductivity comparable to the existing liquid
electrolytes (1‐10 mS/cm) that also exhibits good electrochemical stability turns out to be extremely
challenging. Utilizing heterogeneous doping in composite electrolytes is one promising concept for
solving these challenges. Heterogeneous doping refers to the significantly enhanced ion transport
that occurs at the interface between an oxide insulator and a Li‐ion conductor. Through
combinations of oxides, surface functionalization and Li‐ion conductors the conductivity of the
interface region can be further optimized.
This thesis project focuses on gaining fundamental insights in the interface conductivity between
oxides and Li‐ion conductors. The interface conductivity will be benchmarked to bulk conductivities
using a thin‐film platform with emphasis on interface control and functionalization, both through
gas‐phase deposition and sputter deposition. Controlled deposition of thin film electrolyte layers
using sputter deposition or atomic layer deposition will be a big part of the project. Next to the
process optimization and physical characterization, large effort will go into the electrical and
electrochemical characterization of the bulk electrolyte and the interface region. The experimental
work of the project is carried out at imec facilities. Next to the fully equipped battery lab and thin‐
film deposition facilities, the imec state‐of‐the‐art nanofabrication and characterization facilities will
be available to carry out the research.
Master Nanoscience and Nanotechnology
Thesis Title: Photoelectrochemical processes at solar cells
Promotor: Philippe Vereecken
Faculty/Research Group: Bioengineering Science/imec
Daily Supervision: Philippe Vereecken
Type of work: Materials;#Devices
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Converting solar energy to valuable fuels
Photoelectrochemical processes at metalized semiconductor and semiconductor junctions can be
engineered for efficient production of hydrogen by eletrolysis of water. In this thesis, we will explore
the use of photovoltaic cells for the fabrication of photo‐electrochemical cells.
Master Nanoscience and Nanotechnology
Thesis Title: Electrochemical stability of Li‐ion cathodes in water
Promotor: Philippe Vereecken
Faculty/Research Group: Bioengineering Science/imec
Daily Supervision: Fanny Barde
Type of work: Materials
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Help make the next generation Li‐ion batteries happen
Li‐ion batteries are currently fabricated withN‐Methylpyrrolidone (NMP) as a solvent in electrode
slurries. As NMP is a restricted chemical, it needs careful monitoring and controlled disposal which is
essential for the enironment, health and safety, but imposes a large cost to the manufacturing
process. Therefore, enviromentally friendly aqueous alternative are being pursued. However, water
is a comtaminant in Li‐ion batteries seriously affecting cell performance and life time. In this thesis,
you will use electrochemical techniques to study the reaction of water at Li‐ion cathodes and
evaluate buffer layers to prevent exchange of Li‐ions of the electrode with protons from the water,
one of the most common degradation processes.
Master Nanoscience and Nanotechnology
Thesis Title: Modeling ALD thin‐film growth in Li‐ion electrodes
Promotor: Philippe Vereecken
Faculty/Research Group: Bioengineering Science/imec
Daily Supervision: Philippe Vereecken, Maarten Mees
Type of work: Modeling
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Enabling imec's next‐generation Li‐ion cell technology for a green and sustainable society
Energy storage is essential for a green and sustainable society. In this respect, Li‐ion battery
technology is becoming a key technology to e.g. buffer the energy coming from wind miles or solar
cells, or to power non‐CO2 polluting electrical vehicles. The energy density, life‐time and charging
rates of today's batteries, however, needs to further increase to cope with the future demands. In
this regard, ALD technology is gaining more and more interest from academics and industry, as it can
apply a protective or functional coating in the electrodes of a Li‐ion battery. These thin‐film coatings
will accelerate and control the charge transport at the different interfaces (e.g. the electrode‐
electrolyte interface). The challenge is to deposit a uniform and closed thin‐film in the high‐aspect
ratio structure of the Li‐ion electrode, which requires an in‐depth know‐how on the ALD precursor
diffusion and consumption in that electrode. This project is about simulating the precursor gas flow
and ALD thin‐film growth to guide the experimentalists that deposit these ALD films in electrode
coatings needed to fabricate state‐of‐the‐art (solid‐state) Li‐ion cells.
Master Nanoscience and Nanotechnology
Thesis Title: Characterization of lateral nanostructures using Rutherford backscattering spectrometry
Promotor: Wilfried Vandervorst
Faculty/Research Group: Science/Physics/imec
Daily Supervision: Johan Meersschaut
Type of work: Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Develop a characterization method for periodic nanowires from advanced patterning with critical dimensions below 10 nm
At imec a wide variety of front edge nano‐electronic devices and applications (transistors, memories,
solar cells,...) are being investigated and developed. For this, more powerful characterization
techniques are necessary to assist in the development of the extremely challenging new devices ( 9
nm technology). High‐energy ion beam based materials characterization (a.o. known as Rutherford
backscattering spectrometry ‐ RBS) is a powerful approach to study nanostructures. For this, imec
operates a 2 million Volt ion accelerator connected to high‐vacuum detection end‐stations and
unique data‐acquisition electronics. Using advanced technology originally developed for high‐energy
physics and recently first applied to ion beam analysis at imec, we are able to configure a multi‐
detector configuration strategically tailored to a specific problem.
A main challenge towards the future developments is to identify suitable techniques to
quantitatively characterize metallic nanowires with critical dimensions (CD) of a few nanometer. It is
recognized that Rutherford backscattering holds promise to meet the requirements. Therefore, the
project aims to employ the newest data‐acquisition infrastructure and multi‐detector systems to
develop High‐Sensitivity RBS and RBS‐tomography as novel characterization methods for nanowires
and even for 3D nanostructures.
You will be involved in the geometric design of the detector configuration. You will optimize the
detector configuration based on fundamental understanding and simulations. You will participate in
the practical implementation of the detector system and in the execution of the experiments at the
accelerator.
Using state‐of‐the art analysis software you will analyze the acquired spectra to extract properties
of the nanostructures, and even propose further improvements to the experimental approach as
well as to the modelling.
Master Nanoscience and Nanotechnology
This project will give you in‐depth experience in state‐of‐the art materials characterization, using a
high‐energy accelerator and applied to area‐selective ALD and confined 2D and 3D nanostructures.
You will familiarize yourself with technologies that are key in future nano‐electronics nodes. The
project is especially interesting if you further on wish specialize (e.g. through a PhD) in materials
characterization, materials engineering, as well as in device integration engineering.
Master Nanoscience and Nanotechnology
Thesis Title: Adaptive control of a high‐energy accelerator based measurement system
Promotor: Wilfried Vandervorst
Faculty/Research Group: Science/Physics/imec
Daily Supervision: Johan Meersschaut
Type of work: Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Control and automate a large hardware and data acquisition system
A wide variety of front edge nano‐electronic devices and applications (transistors, memories, solar
cells,...) are investigated at imec. To verify the properties of the extremely challenging new devices
(sub‐22 nm technology) high‐end characterization tools are essential. In this framework accelerator
based characterization is one of the approaches that is pursued. For this, imec operates a 2 million
Volt tandem particle accelerator, connected to multiple beam‐lines and high‐vacuum detection end‐
stations. Continuous investments in hardware and detection systems allow us to be internationally
at the forefront in terms of ion‐beam based characterization of nano‐electronic devices.
This project aims to further develop the control concept and application (WASP) originally
developed at imec. The project enables to control a medium‐large dynamic constellation of
instruments in a modular and naturally multi‐threaded way. Devices and instruments can be added
or deleted dynamically during the proces. The software concept allows to communicate with single
devices through Ethernet (TCP/IP) and RS232/RS485 (via Serial‐Ethernet gateways) and with the user
through a user‐friendly graphical interface (GUI) as well as support for a flexible scripting language
capability. The signals from various virtual instruments are accessible to both the GUI as well as to
scripts that can be run in a command‐like environment.
The aim is now to develop adaptive control, i.e. to use readout signals from the tool to optimize the
operation.
The main tasks will be:
(i) To implement a communication driver, so‐called daemon or service, to read out analog
values from the tool, and to implement a corresponding graphical user interface to run with
Windows’ Hypertext Application environment (HTA).
(ii) Similarly to implement a communication driver to digitally control an analog parameter of
the tool (set‐parameter).
Master Nanoscience and Nanotechnology
(iii) To design and implement adaptive control of the set‐parameter based on the value of one
or more read‐parameters, using for example PID or fuzzy logic. It includes the design of the feed‐
back mechanism using C/C++ and/or Python.
The project covers aspects of human‐machine interfacing, IOT, automation and smart systems.
Master Nanoscience and Nanotechnology
Thesis Title: Advanced characterization of III‐V nanostructures using Raman spectroscopy
Promotor: Wilfried Vandervorst
Faculty/Research Group: Science/Physics/imec
Daily Supervision: Thomas Nuytten
Type of work: Metrology & characterization
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry x
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Nanofocused Raman spectroscopy enables the optical characterization of state‐of‐the‐art III‐V semiconductor devices, allowing the exploration of nanoscale, non‐desctructive metrology of doping, composition and stress.
One of the strategies to overcome the limits of classical dimensional scaling in semiconductor
technology is the use of III‐V compound semiconductors as a high‐mobility channel material for
performance optimization of the eventual device. The growth of these alloys on industry‐standard Si
wafers is challenging and may lead to the introduction of defects and compositional fluctuations. At
the same time, engineering of the charge transport requires control over doping concentrations and
distributions. Accurate measurements of these properties are particularly difficult given the high
surface‐to‐volume ratio of the structures and the large lattice mismatches with the substrate. In this
topic, the use and optimization of micro‐Raman spectroscopy is investigated for measuring the local
properties in next‐generation semiconductor architectures. Raman spectra for III‐V materials are in
general quite complex and the small dimensions of the region of interest further complicate the
measurement. However, it was recently found that a nano‐focusing phenomenon enables the
confinement of the excitation light inside the structures, leading to considerable enhancement of
the Raman response. The internship will involve experimental work on state‐of‐the‐art transistor
structures combined with the development of a thorough understanding of the III‐V Raman coupled
modes. The resulting measurements will be correlated with complementary metrology but the
experimental work focuses on the Raman spectroscopy. The student will learn to work with a micro‐
Raman system using different laser wavelengths. A strong physics background is required. The
student will be trained in working with a Raman system and characterize advanced semiconductor
device structures. He/she will be part of the materials and component analysis (MCA) department.
Master Nanoscience and Nanotechnology
Thesis Title: Event‐driven delta‐sigma ADC with non‐linear quantizer for high‐performance CMOS image sensors
Promotor: Prof. dr. ir. G. Gielen
Faculty/Research Group: Electrical Engineering Dept. (ESAT‐MICAS)
Daily Supervision: Nicolas Callens/Jonah Van Assche
Type of work: System modelling and circuit design
Number of students: 2
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design x
Nanodevices and nanophysics
Bionanotechnology
Description:
CMOS image sensors are becoming crucial for eye detection and hand tracking in mobile
virtual/augmented reality. However, in order to detect rapid movements of the eye (also known as
saccades) or rapid gesture movements, frame‐rates above ~240fps and ~100fps are respectively
necessary. This high frame‐rate should be achieved simultaneously with low power consumption
and low noise. The main bottleneck in obtaining these requirements is mainly determined by the
analog‐to‐digital converter (ADC) of the imager.
In order to achieve low noise, an incremental delta‐sigma with one‐bit quantizer can be used.
However, incremental delta‐sigma with one‐bit quantizer are quite slow and therefore choosing a
multi‐bit quantizer is more appropriate in order to obtain the speed requirement. To achieve even
more speed, a non‐linear quantizer can be opted. This non‐linear quantizer will have a fine LSB step
in the low‐light region where the noise performance is not dominated by the photon shot noise (see
Figure 1). In the high‐light region, where the photon shot noise is more dominant, larger (coarser)
LSB steps can be used. On the other hand, low power can be obtained by using event‐driven
techniques for the ADC. By exploiting the fact that at a certain time interval the eye or hand wil not
move rapidly, the sampling speed can be decreased, and thus decreases the power. In this thesis, you
will research, model and design this type of novel ADC to prove the proof‐of‐concept.
Workload
15% Literature
30% System Modelling
40% Circuit Design
15% Writing report
Master Nanoscience and Nanotechnology
Figure 1:Input vs. Output Curve of a CMOS Image Sensor
References
P. Lichtsteiner et al., “A 128 x 128 120 dB 15 µs Latency Asynchronous Temporal Contrast Vision
Sensor”, in IEEE Journal of Solid‐State Circuits, Vol. 43, No. 2, February 2008.
A. Xhakoni et al., “A Low‐Noise High‐Frame‐Rate 1‐D Decoding Readout Architecture for Stacked
Image Sensors,” in IEEE Sensors Journal, Vol.14, no.6, pp. 1966‐1973, June 2014.
Master Nanoscience and Nanotechnology
Thesis Title: Topological effects in 2D strongly correlated electron systems
Promotor: Locquet Jean‐Pierre
Faculty/Research Group: Functional Nanosystems
Daily Supervision: Dr. Pia Homm Jara
Type of work: Experimental thin film growth and characterization
Number of students: 2
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics X
Bionanotechnology
Description:
Systems with strong electron correlations– related to Coulomb repulsion – display a vast variety of properties such as high temperature superconductivity, colossal magnetoresistance, quantum phase transitions and metal-insulator transitions. Intriguing examples of the latter case are Mott transitions in vanadium oxides (V2O3 and VO2) where resistivity changes of up to 7 orders of magnitude have been reported [1,2]. On the other hand, when dimensions are reduced such as in ultrathin two-dimensional (2D) layers, additional superior electric and magnetic properties can appear, such as the remarkably high electron mobility in graphene monolayers related to the Dirac peaks in the 2D band structure. Another recent example of 2D materials is that of topological insulators that are materials with symmetry protected topological order and behave as an insulator in its interior but as a conductor on its surface. So, the question to be answered during this master thesis is whether Mott insulators such as the vanadium oxides with their stable 3D metallic and insulator states can behave as topological insulators when reduced to a 2D form? This question will be addressed experimentally through the growth of thin films using molecular beam epitaxy and their structural (x-ray diffraction), electronic (resistivity, angle resolved photo electron spectroscopy), optical (transmission & reflection) and magnetic (Hall effect) characterization. Specifically, such thin films with a range of thicknesses will be studied [3]. This study will be carried against the background of the first theoretical predictions reporting a high temperature quantum anomalous Hall effect with a magnetic critical temperature of 900K in 2D V2O3 layers [4].
[1] Opportunities in vanadium based strongly correlated electron systems M. Brahlek et al., MRS Communications, 7, 27-52 (2017)
[2] Collapse of the low temperature insulating state in Cr-doped V2O3thin films P. Homm et al., Applied Physics Letters, 107, 111904 (2015)
[3] Evidence of the metal-insulator transition in ultrathin unstrained V2O3thin films L. Dillemans et al., Applied Physics Letters, 104, 071902 (2014)
[4] Prediction of high-temperature quantum anomalous Hall effect in two-dimensional transition-metal oxide, H.P. Wang et al., Physical Review B95, 125430 (2017)
Master Nanoscience and Nanotechnology
Thesis Title: Secure IoT for the future
Promoter: Prof. Dr. Ingrid Verbauwhede
Faculty/Research Group: ESAT/COSIC
Daily Supervision: Angshuman Karmakar, Jose Maria Bermudo Mera
Type of work: 10% literature, 10% Theoretical, 80% Hardware/Software
Number of students: 1 or 2
Specialisation Option
Nanoelectronice design *
Description: There are approximately 7 billion of IoT devices in the world today and their number is
supposed to grow aggressively in the subsequent years. With their large level of deployment comes
the responsibility of protecting these devices from malicious use. For example, it will be a
catastrophe for a person with a pacemaker if a person with harmful intention gains control over the
device.
The most obvious way to prevent attacks is to secure all the communication between IoT enabled
devices using strong cryptography. However, almost all the known classical cryptosystems will be
unable to provide security of such devices as all of them can be broken by a quantum computer.
Thankfully we have post‐quantum cryptosystems which can not be broken even by a very powerful
quantum computer.
However, these post‐quantum cryptosystems often uses more power and bandwidth than their
classical counterparts. In this project, we will show that post‐quantum cryptography is perfectly
capable of providing security to IoT devices. We will evaluate different candidate submissions of
NIST’s post‐quantum standardization procedure 1 to choose one or more candidates to optimize for
efficient low power implementation. There is high‐level C source codes available for all the
candidates. Starting from this reference code, the student(s) will carry out platform specific
optimizations. This will also contribute to the public scrutiny of algorithms, since NIST will consider
not only the security of the schemes but their performance in different platforms.
The preferred platforms will be low power processors or platforms used in IoT devices, e.g., ARM
Cortex M0 or AVR processors as in very resource constrained devices, ARM processors with NEON
support as in more widespread devices, or a ZYBO board which has an FPGA‐ARM SoC to explore
HW/SW codesign strategies. The choice of the device can be specified later according to the
background and preferences of the student. Students who have already taken the Design of Digital
Platforms course will find the work methodology of this project familiar. Finally, we would like to
encourage students who are interested in embedded systems, security and the cryptography that
will be deployed in the coming decades. It is important to note that this project does not require any
knowledge of quantum computing or quantum physics. The student(s) should have a good basic
mathematical knowledge for understanding the algorithms and good coding skills.
Master Nanoscience and Nanotechnology
Contact : [email protected]
Master Nanoscience and Nanotechnology
Thesis Title: Molecular self-assembly under nanoconfinement conditions: a top-down approach
Promoter: Steven De Feyter
Faculty/Research Group: Faculty of Science / Division of Molecular Imaging and Photonics
Daily Supervision: Lander Verstraete
Type of work: experimental
Number of students: 1
Specialisation Option Nanomaterials and nanochemistry x Nanoelectronice design Nanodevices and nanophysics x Bionanotechnology
Description:
Molecular self-assembly of organic building blocks has often been used for fabricating complex functional surfaces. A sizeable part of this discipline consists of understanding the complexity of molecular recognition processes transpiring during the assembly process which occurs at the interface between an organic liquid and a crystalline solid.
Analogous to crystallization in bulk, the self-assembly of molecules at the liquid-solid interface is believed to proceed in discrete stages namely, nucleation, growth and ripening. Nucleation is a dynamic process in which small clusters of molecules are formed and dissolved. When the rate of addition of molecules to the preformed surface cluster exceeds the rate of desorption then a nucleus is stable and the growth process starts. Once the entire surface is covered with molecules, ripening of domains starts wherein large domains grow at the expense of smaller ones. It is generally assumed that slow nucleation is followed by rapid growth and then slow domain ripening. Several of these processes are too fast to follow in real time. An approach to overcome this problem is self-assembly in confined space.
You will investigate self-assembly phenomena in nanosized “corrals” on graphite, graphene or other 2D materials using state-of-the-art techniques such as scanning tunneling microscopy (STM), atomic force microscopy (AFM), Raman microscopy and spectroscopy. In a recently developed protocol in the De Feyter group, such corrals are made by covalent grafting of molecules on graphite, followed by their local removal using STM or AFM (like removing stuff with a broom, but then on a very small scale). Well-defined areas (size and shape) are formed with dimensions in the nanometer range. You will investigate how these nanocontainers have an impact on the self-assembly of molecules. How does it affect nucleation and monolayer growth. Does corral size and shape have an impact on the patterns that are formed? Can you force molecules to organize in a certain pattern based on the dimensions and shape of the corrals? Can you make electronic circuits using this approach?
www.defeytergroup.org
Master Nanoscience and Nanotechnology
Master Nanoscience and Nanotechnology
Thesis Title: Using covalent chemistry to nanostructure 2D materials based on bottom-up strategies: in control of properties of 2D materials
Promoter: Steven De Feyter
Faculty/Research Group: Faculty of Science / Division of Molecular Imaging and Photonics
Daily Supervision: Lakshya Daukiya
Type of work: experimental
Number of students: 1
Specialisation Option Nanomaterials and nanochemistry X Nanoelectronice design Nanodevices and nanophysics X Bionanotechnology
Description:
Graphene is a material that consists of a single layer of graphite. Graphene can be considered as a two-dimensional polymer, with special electronic properties. It is a very popular material as it is for instance a promising candidate for carbon-based electronics or sensing, and its discovery led to the Nobel prize in 2010. Opening the electronic bandgap of graphene requires strong interactions. Covalent modification of graphene is a promising approach. Covalent modification of other 2D materials can also change their optical and spectroscopic properties.
Recently, our group developed a protocol to functionalize surfaces by covalent grafting of molecules on 2D materials. The density of grafted molecules can be controlled.
It will be your task to develop new protocols to form nanostructured grafted surfaces (graphite, graphene, and also other 2D materials) via a variety of bottom-up strategies. The result of this approach should be that you form regular (de)grafted arrays. It is predicted that this will have an important impact on the bandgap engineering of graphene, and will modify the properties of other 2D materials too. Furthermore, you will investigate the structural and spectroscopic properties of these functionalized surfaces by scanning probe microscopy and Raman spectroscopy, respectively. In addition, it is the aim to investigate and compare the electronic properties of the various nanostructured surfaces.
(see next page for additional info)
www.defeytergroup.org
Master Nanoscience and Nanotechnology
Master Nanoscience and Nanotechnology
Thesis Title: Nanostructuring graphene or other 2D materials via non-covalent chemistry: a new approach for functionalizing 2D materials
Promoter: Steven De Feyter
Faculty/Research Group: Faculty of Science / Division of Molecular Imaging and Photonics
Daily Supervision: Kunal Mali
Type of work: experimental
Number of students: 1
Specialisation Option Nanomaterials and nanochemistry x Nanoelectronice design Nanodevices and nanophysics x Bionanotechnology
Description
Graphene is a material that consists of a single layer of graphite. Graphene can be considered as a two-dimensional polymer, with special electronic properties. It is a very popular material as it is for instance a promising candidate for carbon-based electronics or sensing, and its research led to the Nobel prize in 2010. Recently, also research in other promising 2D materials started.
The ultimate goal of this project is to modify the electronic and optical properties of 2D materials such as MoS2 by self-assembly of molecules, in view of a range of potential applications.
The first objective is to carry out the molecular self-assembly of mono- and bicomponent mixtures at the interface between a liquid and 2D material and to visualize the molecular ordering by non-optical microscopy techniques such as scanning tunneling microscopy or atomic force microscopy. These techniques allow visualization of individual molecules. A key question is to what extent the interaction strength and interaction mode of molecules on 2D materials differ from standard substrates. A second objective is the spectroscopic characterisation of the interaction of these molecular nanopatterns with the 2D materials with Raman spectroscopy. A third objective is the characterisation of molecule covered 2D material-based devices to probe the effect of molecule – 2D material interactions on the electrical or optical properties.
You will investigate the self-assembly of molecules on top of 2D materials by state-of-the-art microscopy tools such as scanning probe microscopy. You will probe how the adsorption of molecular nanopatterns on 2D materials affects the electronic and/or optical properties of the 2D materials. (see next page for additional info). http://www.defeytergroup.org
Master Nanoscience and Nanotechnology
Master Nanoscience and Nanotechnology
Thesis Title: Nonreciprocal beating microcilia for microfluidic propulsion
Promotor: Prof. Michael Kraft, prof. Dominiek Reynaerts
Faculty/Research Group:
Daily Supervision: Frederik Ceyssens, Edoardo Milana
Type of work: Design, Simulation, Microfabrication
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design
Nanodevices and nanophysics x
Bionanotechnology
Description:
Arrays of beating cilia covering the body of microorganisms emerged as natural solution for
microscale fluidic propulsion. In low Reynolds environments, where viscous forces are
predominant, nonreciprocal motions (quantified by the area enclosed by the tip trajectory)
are necessary to swim or to induce net fluid flows.
Pneumatic actuated microcilia are a biomimetic solution for the design of microfluidics
devices such as micropumps and micromixers and are foreseen as possible locomotion
systems for microrobots.
However, it is challenging to build cilia that show nonreciprocal motions without increasing
the complexity of the structure and the number of degrees of freedom to be compatible
with fabrication processes at the microscale.
In this thesis the objective is to develop a ciliated surfaces, where each cilium is actuated
with a single pressure input and its tip trajectory encloses a certain area. The main goal is to
use bistable elastic membranes with PDMS micropillars on top to create a ciliated surface.
Spatial asymmetry is guaranteed by not following the same path in inflation an deflation of a
single bistable membrane.
Master Nanoscience and Nanotechnology
Figure 1: elastic membranes with PDMS pillars on top: due to the elastic instability of the membranes the tip of the cilia follows a different trajectory between inflation and deflation.
Master Nanoscience and Nanotechnology
Thesis Title: A THz Plasmonic Mixing‐Based Power Detector
Promotor: Prof. Patrick Reynaert
Faculty/Research Group: Faculty of Engineering Science/ESAT/MICAS
Daily Supervision: Kristof Dens
Type of work: 25% Literature / 75% Circuit Design
Number of students: 1
Specialisation Option
Nanomaterials and nanochemistry
Nanoelectronic design X
Nanodevices and nanophysics X
Bionanotechnology
Description:
THz electronics has a large amount of potential applications, such as biomedical imaging (THz waves
are non‐ionizing) and high‐speed (albeit short‐distance) data communication links. However,
commercialization of these applications has been virtually absent due to the lack of high‐
performance, affordable signal sources and receivers for THz frequencies. In literature, some THz
detectors have been published which are fabricated in larger, relatively cheap CMOS nodes (e.g.
0.25um). These detectors are based on plasmonic mixing, which allows operation above the
transistor cut‐off frequency.
The aim of this thesis is to improve our understanding of the plasmonic mixing effect and study its
potential
application
in THz
power
detectors
through a
full circuit
design,
including
on‐chip
antenna.
Master Nanoscience and Nanotechnology
Schematic of a single pixel of a THz imager [1]
[1] Ojefors, Erik, et al. "A 0.65 THz focal‐plane array in a quarter‐micron CMOS process technology."
IEEE Journal of Solid‐State Circuits 44.7 (2009): 1968‐1976.
Top Related