Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring...

14
Wafer Based Temperature Metrology Nov. 14 th ., 2013 Dinh Chu, Giampietro Bieli, Youxian Wen; GEM/SensArray-VLSI Division

Transcript of Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring...

Page 1: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

Wafer Based Temperature Metrology

Nov. 14th., 2013

Dinh Chu, Giampietro Bieli, Youxian Wen; GEM/SensArray-VLSI Division

Page 2: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

2 Copyright © 2013 KLA-Tencor Corporation

Agenda

Introduction

SA Wireless Wafer Products

Wafer based metrology

Accuracy & Stability

Key use cases for Etch, Thin Film processes

Characterize thermal stability of process tool

Correlation between wafer temperature and critical process parameters,

CDs

Matching of wafer temperature and thermal budget

Diagnosis of process and process tools

Summary

Page 3: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

3 Copyright © 2013 KLA-Tencor Corporation

Key Wireless Temperature Wafers

Wireless Wafers Spec. Process

ST

20~24oC

±0.05oC; 0.03oC

65 Sensors; 775um

Scanner

ET

ET-SE

20~140oC

±0.2oC; 0.25oC

65 Sensors (Edge Dense); 1.2mm

Dry Etch

Implantation

WT-LP

15~140oC

±0.5oC; 0.5oC

65 Sensors, 775um

Wet Etch

Clean

Integrated

Wafer

20-145°C

±0.1°C; 0.1°C

65 Sensors; 1.3mm

Clean Track

Room Atmosphere

HT-350 XP

25~350oC

21 Sensors

±1.0oC; ≤0.6oC

Plasma Off

<6mm

Thin Film

Strip

Si cover

Si

substrate

Sensors,

electronics,

battery

Metrology Tools

An enabler, and/or part of a Process Solution

Page 4: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

4 Copyright © 2013 KLA-Tencor Corporation

SensArray Integral Wafer Technology Proprietary Sensor Solutions >160 Patents

Notch

Page 5: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

5 Copyright © 2013 KLA-Tencor Corporation

SensArray Wireless in-situ SensorWafer System Measure and record in-situ wafer temperature up to 4Hz

• Create Mission using process

recipe

• Launch Mission and record

temperature on the wafer

while in a chamber

• Download data from the

BaseStation

• Analyze data using the

SensArray system software

SAT Control and Analysis

Software Version 2.6

BaseStation 300Z

Communication & Charging

Page 6: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

6 Copyright © 2013 KLA-Tencor Corporation

Tool Matching

Process Characterization Multi Temp/Chamber Optimization

Etc

hTe

mp

-SE

W

etT

em

p-L

P

Troubleshooting

Sc

an

ne

rTe

mp

Scanner Temp Profile

Time

Valve Open

22.0°C 22.5°C

Overlay Shift

SensArray Core Value Proposition Enhancing Process Equipment ROI Across the Fab

Process Condition A Process Condition B

X

Tool A

Ch2

Tool A

Ch1

Tool B

Ch2

Tool B

Ch1

Hig

hTe

mp

-35

0

Te

mp

era

ture

T

em

pe

ratu

re

Time

Page 7: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

7 Copyright © 2013 KLA-Tencor Corporation

Key Use Cases

Equipment Engineers

Calibration/Qualification

Thermal Stability

Thermal Transient (Ramping)

WiW uniformity

C2C and T2T Matching

Process Engineers

Process Development

Effect of process knobs, i.e. temperature, power, chemistry, etc.

Allowable process window, i.e. range of temperature vs. process parametrics

Process Optimization & Integration

Duration between process steps

Thermal history

How accurate is ?

How stable is ?

How fast is ?

How uniform is ?

How much variation is?

How sensitive is?

How optimal Temp & Duration are?

Page 8: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

8 Copyright © 2013 KLA-Tencor Corporation

Etch Temp Use Cases in additional to ESC Cal

Thermal Stability & Uniformity

of Process Tool

Thermal Sensitivity of Process

Condition 1 (Plasma Off)

Condition 2 (Plasma ON)

Condition 3 (Plasma Off)

Condition 4 (Plasma ON)

Wafer Based Temperature Metrology for

Development & Optimization of Equipment & Process

SiO2

Si

Si3 N4

Page 9: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

9 Copyright © 2013 KLA-Tencor Corporation

Chamber Matching STI Etch – High CD correlates to higher temp

Tool X

Tool Y

Tool Z

Te

mp

era

ture

Page 10: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

10 Copyright © 2013 KLA-Tencor Corporation

HighTemp-350 Multi-Chamber Thermal Profiling

Cluster-tool thermal characterization not available by any other means

DEGAS

COOL PVD-A

PVD-B

COOL

• Provide temporal & spatial

temperature information beyond the

current 140°C limitation

• Insulate temperature-sensitive

components from extreme heat

during time-limited missions

350

150

125

100

75

50

25

300

275

250

225

200

175

325

°C

Page 11: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

11 Copyright © 2013 KLA-Tencor Corporation

High Temp 350XP for Thin Film

Integration

Transient

Thermal Sensitivity

Thermal Stability

R1…n

Page 12: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

12 Copyright © 2013 KLA-Tencor Corporation

For Tool – Tool (chamber-chamber) Matching

Both Chambers in Tool B are

cooler than of Tool A

Different cold spots between

two degas chambers

Larger temperature range in the

PVD chamber of tool B than that

of tool A

Longer duration in PVD of

tool B over tool A

Different thermal budget

Tools A B D

Degas

Mean 13.8

Range 14.2 10.1

PVD

Mean 21.4

Range 33.9 47.3

Page 13: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

13 Copyright © 2013 KLA-Tencor Corporation

Summary

A metrology solution for characterizing

and/or monitoring process tools

Thermal stability, uniformity, accuracy,

matching, and throughput of all ≤350oC

A metrology tool for process development

& optimization

Effect of process knobs.

Thermal Sensitivity

Allowable process window

Duration of each and between process

steps

Thermal budget

SensArray Wafers

Etch

Track

Scanner

Wet PVD

CVD

Implant

Page 14: Wafer Based Temperature Metrology · A metrology solution for characterizing and/or monitoring process tools Thermal stability, uniformity, accuracy, matching, and throughput of all

Copyright © 2013 KLA-Tencor Corporation www.kla-tencor.com