VCSELS Uncertain future looms for dilute nitride lasers

36
Uncertain future looms for dilute nitride lasers Yokogawa builds $230 m GaAs fab ZnO offers route to phosphor-free LEDs TV evolution boosts GaAs market ALSO INSIDE July 2005 Volume 11 Number 6 VCSELS

Transcript of VCSELS Uncertain future looms for dilute nitride lasers

Page 1: VCSELS Uncertain future looms for dilute nitride lasers

Uncertain future looms fordilute nitride lasers

Yokogawa builds$230m GaAs fab

ZnO offers route tophosphor-free LEDs

TV evolution boostsGaAs market

ALSO INSIDE

July 2005 Volume 11 Number 6

VCSELS

Page 3: VCSELS Uncertain future looms for dilute nitride lasers

JULY 2005 VOLUME 11 NUMBER 6

Taiwanese firm BenQ is set to acquire theSiemens mobile phone business unit. p6

GaAs chip makers benefit from the switch todigital TV and interactive services. p19

How do you make white-light LEDs withoutusing phosphors? Cermet explains. p29

5 Headline News Yokogawa to build $230 m chip facility...IC inventor Jack Kilby dies...Gartner ups phone forecast despite European slowdown

6 GaAs & Wireless News Taiwan’s BenQ to buy Siemens’mobile phone business in global expansion bid...Freescale shrinks RF chipset with SiGe and GaAs...Mimix Broadband acquires Celeritek...Broadband access takes the lead at IMS 2005...GCS process targets millimeter wave...Cree to close silicon chip operation

9 LED News Sumitomo signs $200 m purchase deal with Cree...Osram unveils giant television backlight

11 Opto News Sharp Laboratories Europe fabricates continuous-wave blue lasers with MBE...Disc manufacturers prepare for new DVD...Comlase VP to drive reactor development

12 Fiber News Emcore buys JDSU cable-TV unit...Finisar loss mounts despite high revenue

14 Wide Bandgap NewsArkansas SiC team gets $1 m to stop blackouts...US Navy finances $12 m powerproject...CAP Wireless sets its sights on achieving full X-band coverage...HRL debuts GaN fabrication service

31 Materials & Equipment News Investment firm shakes up Unaxis management...Engis opens polishing lab

COMPOUND SEMICONDUCTOR JULY 2005 1

On the cover: Long-wavelength telecom lasers based on dilute-nitride structures face an uncertain commercial future, despite many advantages over InP-baseddevices (image courtesy of Infineon Technologies). See p23.

NEWS

23 Uncertain future looms for dilute-nitride lasersThe future of dilute-nitride lasers hangs in the balancefollowing the sale of Infineon Technologies’optical-transceiver business to Finisar. Richard Stevenson reports.

COVER STORY: DILUTE-NITRIDE VCSELS

16 Facet etching promises increased blue-laser yieldBinOptics’etched-facet technology avoids the drawbacksof the standard cleaving process used in laser production.Alan Morrow and Alex Behfar describe how the techniquecan benefit GaN laser manufacturing.

19 TV evolution breeds business for GaAs chip manufacturersAdvances in television broadcasting are generating demandfor higher bandwidth cable-television networks. Thisevolution is driving an increase in shipments of galliumarsenide components. Richard Stevenson investigates.

27 Rising patent awards hint at a future increase in litigationIt might appear that the nitride LED intellectual property“wall” is crumbling. But not everybody agrees, as MichaelHatcher discovers.

29 Fluorescent substrate offers route to phosphor-free LEDsA research team in the US is looking to remove the needfor white LED phosphors by using fluorescent, doped-zinc-oxide substrates, as Jeff Nause explains.

FEATURES

Compound Semiconductor’s circulationfigures are audited by BPA International

31 Product Showcase

ADVERTISING SECTION

32 Research Review Circular holes lead to brighter LEDs...Nanoislands reduce GaN epilayer defect densities

DEPARTMENTS

SIE

ME

NS

AN

AD

IGIC

S

CE

RM

ET

Page 4: VCSELS Uncertain future looms for dilute nitride lasers

Editor Michael [email protected]: +44 117 930 1013. Fax: +44 117 925 1942

Features editor Richard [email protected]: +44 117 930 1192

Consulting editor Tim [email protected]: +44 117 930 1233

Commercial manager Rebecca [email protected]: +44 117 930 1032. Fax: +44 117 930 1178

Business development manager Dan [email protected]: +44 117 930 1030. Fax: +44 117 930 1178

Circulation manager Jackie [email protected]: +44 117 930 1218. Fax +44 117 930 1178

Publisher Sarah [email protected]: +44 117 930 1020

Production Lindsey Coles, Rachel Elliott, Teresa RyanAd production Jackie Cooke, Tanwen HafArt directorAndrew GiaquintoTechnical illustratorAlison ToveyPublishing director Richard Roe

SubscriptionsAvailable free of charge to qualifying individualsworking at compound semiconductor fabs andfoundries. For further information visitcompoundsemiconductor.net/subscribe.Subscriptions for individuals not meeting qualifyingcriteria: individual £82/$148 US/7119; library£184/$331 US/7267. Orders to CompoundSemiconductor, WDIS, Units 12 & 13, CranleighGardens Industrial Estate, Southall, MiddlesexUB1 2DB, UK. Tel: +44 208 606 7518; Fax: +44 208 606 7303. General enquiries:[email protected].

7979 total qualified circulation*

*June 2004 BPA audit statement

Editorial boardMayank Bulsara Atlas Technology (USA);Andrew Carter Bookham Technology (UK);Jacob Tarn Epistar/Gigacomm (Taiwan); Ian Ferguson Georgia Institute of Technology(USA); Toby Strite JDS Uniphase (USA); MarkWilson Motorola (USA); Dwight Streit NorthropGrumman (USA); Joseph Smart RF Micro Devices(USA); Colombo Bolognesi Simon FraserUniversity (Canada); Shuji Nakamura Universityof California at Santa Barbara (USA)

©2005 IOP Publishing Ltd. All rights reserved.

US mailing information: CompoundSemiconductor (ISSN 1096-598X) is published 11 times a year for $148 by Institute of PhysicsPublishing, Dirac House, Temple Back, Bristol BS1 6BE, UK. Periodicals postage paid atMiddlesex, NJ 08846. POSTMASTER: sendaddress corrections to Compound Semiconductor,c/o PO Box 177, Middlesex, NJ 08846. US agent:Pronto Mailers Association Inc, 200 Wood Avenue,PO Box 177, Middlesex, NJ 08846.

2

Editorial

Air Products and Chemicals 18

Aixtron 3

Akzo Nobel 12

Bandwidth Semiconductor 26

BOC Edwards 9, 31

Engis 31

Instrument Systems 24

INTRINSIC Semiconductor IFC

k-Space Associates 26

KLA-Tencor 20

LayTec 14

Logitech 31

PANalytical 22

Raboutet 8

Riber 24

SAMCO Inc 15

Surface Technology Systems 10

Tecdia 4

Thomas Swan Scientific Equipment 7

Unaxis IBC

Veeco OBC

Advertisers’ Index

I’ve written about the trials and tribulations of the fiber-opticcomponents industry in this column on more than oneoccasion over the past 18 months. While I apologize forreturning to the subject, a recent announcement from Japanesecompany Yokogawa Electric Corporation does merit someserious attention.

Yokogawa is doing something virtually unheard of over the past few years:it is building a new fab to mass-manufacture photonic GaAs devices for usein optical-communications networks. And it sounds like a big one. Costing$230 million, the fab will be five stories high, with its own R&D facility and,because it will be located near Tokyo, it will be earthquake-proof. With 200staff expected on the roster, the annual production target is one million units.

The compound semiconductors that Yokogawa plans to make in its newfab from November 2006 onwards will end up in its 40 Gbit/s opticalpacket switches, IC testers and measurement equipment.

All of which begs the question: what does Yokogawa know that we don’t?While it is true that NTT has a massive roll-out of communicationsinfrastructure in the pipeline, exactly when that network overhaul is to bedeployed, and the extent to which it will feature 40 Gbit/s opticaltechnology, is unclear. What is clear, is that Yokogawa appears to be wastingno time with its fab construction, which will have a total floor space of28,000 m2 by the time production begins.

The last thing that this industry needs is another massively under-utilizedfab, so we can only assume that Yokogawa does have some inside track onfuture deployments of optical networks in Japan. As countless companiesthat built factories to make photonics components in the last few years cantestify, it is a very expensive decision to take, and one that can backfire quitespectacularly if the timing is wrong. Photonic fab usage is still way below theavailable capacity, with even market-leading companies like JDS Uniphaserunning at less than 25% of potential volumes.

Let’s hope that Yokogawa has got its timing right.

Michael Hatcher

COMPOUND SEMICONDUCTOR JULY 2005

What does Yokogawa know?

Page 7: VCSELS Uncertain future looms for dilute nitride lasers

HEADLINE NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 2005 5

Yokogawa to build $230m chip facility

Agilent silent about semiconductor rumors

Yokogawa Electric is to invest ¥25 billion($230 million) in a large compound semicon-ductor chip fabrication facility near Tokyo.

The industrial giant, which specializes inautomation and control systems, digital sen-sors, and test and measurement equipment,says that it has developed an optical packetswitch for next-generation communicationsnetworks that operates at 40 Gb/s.

Yokogawa’s all-optical switch technologyis based around a GaAs chip that can route sig-nals without the need for electronic conver-sion. The device can switch in less than 2 ns,which Yokogawa says makes it the world’sfastest current-injected optical switch.

“In January this year, Yokogawa carried outthe first ever practical demonstration of imagedata transmission over an optical packet net-work,” said the company in a statement.

The five-storey earthquake-proof fab,

which will be built in Sagamihara, KanagawaPrefecture, will employ 200 staff across its28,000 m2 floor space. Production of com-pound semiconductor chips is set to begin inNovember 2006.

Yokogawa says that it expects to see large-scale investment in the optical communica-tions equipment market as service providersswitch from electronic to photonic links.

In November last year, the Japanese com-munications giant Nippon Telephone andTelegraph, better known as NTT, announcedits intention to build extensive optical net-works as part of its planned $47 billion over-haul of communications infrastructure.

Yokogawa reckons that it can cash in on theupgrade cycle and estimates that its opticalcommunications equipment business will gen-erate annual sales of ¥100 billion ($919 mil-lion) by 2010.

The 40Gbit/s optical packet switch is basedon more than 20 years of research into III-Vdevices, says Yokogawa. It describes thepacket switch as a combination of a high-speed optical device that can switch betweenpacket signals without the need for temporaryelectronic conversion, and an optical labelrecognition circuit.

Details of the packet switch were revealedat last year’s Optical Fiber CommunicationsConference. GaAs-based double hetero-structure ridge waveguides are the key semi-conductor components in the device, whichhas a minimum switching time of 1.2 ns at1550 nm. The waveguides were made on a4 inch GaAs wafer that featured more than 800switch chips. At the time, Yokogawa acknowl-edged that the optical communications mar-ket was not ready for such a product. Now, itseems, that thinking has changed.

Jack Kilby, the inventor of the monolithic inte-grated circuit, has died. Kilby, who was 81, iscredited with transforming the modern worldwith his 1958 invention, for which he receivedthe Nobel Prize for Physics 42 years later.

Although Kilby’s work focused on thedevelopment of silicon-based ICs, his keyinvention had a profound effect on the entiresemiconductor industry, including GaAs-based transistors and ICs.

Kilby spent much of his career at TexasInstruments (TI), and more recently he alsoserved on the board of the III-V chip manu-facturer Bookham.

“Jack was one of the true pioneers of thesemiconductor industry,” said Rich Templeton,the CEO of TI. “Every engineer, myselfincluded, owes no small part of their livelihoodto the work Jack Kilby did here at TI.”

Gartner ups phoneforecast despiteEuropean slowdown

Strong first-quarter growth in all major cell-phone handset markets has prompted market-research firm Gartner to raise its forecast ontotal sales for 2005 to 750 million units. Thatis despite other reports of a shrinking cell-phone market in western Europe and a markedslowdown in North America.

Gartner said that the mobile handset indus-try had a record first quarter, with worldwidesales of 181 million units, up 17% on last year.Meanwhile, Strategy Analytics said that 8%fewer cell phones were sold in western Europethan in the same period last year, and that hand-set growth in north America had slowed to 3%.

Cell-phone handsets represent the biggestsingle application area for both GaAs-basedRFICs and high-brightness LEDs, where thetechnologies are widely used in power ampli-fiers and phone backlights.

The record sales volume for the time ofyear convinced Gartner to revise its predic-tion up from the previous forecast of 720 mil-lion units. If correct, the new figure wouldrepresent a 13% increase on 2004 – itself arecord-breaking year for the technology. BothNokia and Skyworks Solutions have madesimilar predictions for total 2005 sales afterreporting a strong start to the year.

III-V chip manufacturer Agilent Technologiesis refusing to comment on rumors that it maybe planning to sell off its semiconductor prod-ucts group.

According to the Wall Street Journal, thePalo Alto, CA, firm, which makes LEDs, GaAsICs and laser chips, no longer sees the businessunit as a core part of its corporate strategy and

has enlisted Goldman Sachs to handle a possi-ble sell-off. But when contacted by CompoundSemiconductor, Agilent declined to comment.

If Agilent was to sell the division it wouldaffect Lumileds, the high-brightness LED chipmanufacturer that it set up as a joint venture withglobal electronics and lighting giant Philips.Both firms hold a 50% stake in Lumileds.

IC inventor JackKilby dies aged 81

Jack Kilby, with the notebook in which herecorded the work that led to thedevelopment of the integrated circuit.

TE

XA

S IN

ST

RU

ME

NT

S

Page 8: VCSELS Uncertain future looms for dilute nitride lasers

GAAS & WIRELESS NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 20056

Shareholders in Celeritek have approvedplans to liquidate the designer and manufac-turer of GaAs-based RFICs.

Most of Celeritek’s assets have been soldto Mimix Broadband of Houston, TX. Mimix

paid $2.8 million in cash for the Santa Claracompany, and took on $6 million in liabilities.

Celeritek’s board of directors says that acash dividend worth $8.4 million will now bedistributed to shareholders in the company.Any remaining cash will be similarly sharedout under the plan for complete liquidation.

Mimix, which says that the acquisition ofCeleritek gives it an immediate platform toexpand its existing product portfolio and enternew markets in the telecom, satellite and

defense sectors, has raised an additional$8.5 million in investment.

Financing in the latest round came from 3i,First Capital Group of Texas, and GefinorVentures, as well as from private investors.

Mimix chief executive officer Rick Mont-gomery says that the acquisition of Celeritekwill “generate innovative technology and sup-ply superior customer support, building thevery best platform in the microwave andmillimeter-wave semiconductor industry”.

Mimix Broadbandacquires Celeritekand raises $8.5m

Taiwan’s BenQ to buy Siemens’ mobilephone business in global expansion bidTaiwan-based BenQ is set to acquire the cell-phone business unit belonging to Siemens.The Germany-based industrial giant has beenlooking at options to divest the unit for sometime, and has seen its market share fall sharplyas a result of the uncertainty.

BenQ sees the deal as a key part of itsexpansion strategy as it seeks to become oneof the top global suppliers of wireless hand-sets. The Taiwanese firm had a global marketshare of only 0.3% in the first quarter of 2005,compared with Siemens’5.4%, according tothe latest market analysis by Gartner.

If the acquisition, which is subject toapproval by BenQ shareholders and regulatorybodies, goes ahead, BenQ will take on the 6000employees working in the Siemens unit. Underthe terms of the agreement, BenQ will beallowed to use the Siemens brand for five years.

The Taiwanese company already has astrong handset presence in Asia and it alsosells a range of consumer electronics prod-ucts, including LCD screens, cameras andscanners. BenQ believes that the Siemensmobile-phone division will give it access to

the European and Latin American markets thatit has struggled to penetrate.

Siemens was ranked as the fourth-largestglobal cell-phone supplier in 2003 with a mar-ket share of 8.4%, according to Gartner.However, since then its market share has fallenbehind that of Korea’s LG, and the uncertaintyover the future of the firm’s mobile divisionwas seen as an important factor in this.

According to the most recent figures pub-lished by Gartner, Siemens looks set to dropbehind SonyEricsson and into sixth placeoverall. Both companies registered a globalmarket share of 5.4% in the first quarter, butSiemens was alone among the leading cell-phone suppliers to sell fewer units in early2005 than it did in the previous year.

If the deal is approved, BenQ will acquireall of Siemens’ development and manufac-turing sites, which are located in Brazil,Germany and China. Siemens is set to receivenew BenQ shares to the value of 750 million($60.7million) and says that it will treat BenQas a preferred partner for its remaining mobile-communications activities.

Many consumers associate the Siemensbrand with style and advanced features.However, the company has struggled tomake its phone division profitable.

Freescale shrinks RF chipset with SiGe and GaAsFreescale Semiconductor says that its latestRF subsystem will usher in a new breed ofhigh-end cell-phone designs compatible withboth W-CDMA and GSM/EDGE protocols.

The Austin, TX, company, which was spunout of its parent firm, Motorola, last year,claims to have reduced the number of indi-vidual components required from more than100 to around 35. The multimode subsystemalso takes up 70% less board space than pre-vious solutions, the company says.

In all, the subsystem comprises four keyelements: a triband W-CDMA transceiver; aW-CDMA integrated power-amplifier (PA)module with power detection; a quadbandGSM/EDGE transceiver; and a GSM/EDGEPA module with power control.

Freescale told Compound Semiconductorthat the GSM/EDGE PAmodule uses multipleprocesses on separate ICs. “We are using SiGein the drivers and GaAs for the final PAs,” saidthe company.

It adds that its customers are already plan-ning to implement the new chipset in theirhigh-end phones, which feature music play-ers, GPS and digital cameras. Volume pro-duction of the subsystem is expected to beginin the third quarter.

Will Strauss from market research company Forward Concepts expects the W-CDMA/EDGE multimode handset mar-ket to grow 168% this year, with unit salesof nearly 50 million.

SIE

ME

NS

Page 10: VCSELS Uncertain future looms for dilute nitride lasers

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 20058

GAAS & WIRELESS NEWS

Broadband access takesthe lead at IMS 2005The International Microwave Symposium inJune saw a raft of new products from chipmanufacturers targeting the emerging broad-band wireless access (BWA) sector.

RF chip manufacturers TriQuint Semi-conductor and Toshiba America ElectronicComponents (TAEC) both released new GaAsproducts aimed at WiMAX and other BWAprotocols at the Long Beach, CA, event, whileCree said that it is now sampling a 10 W SiCMESFET for similar applications.

TriQuint revealed two new power ampli-fiers (PAs), manufactured using its 0.5 µmgate-length HFET process, that operate in the3–4 GHz range. One is rated at 10 W and theother at 5.6 W.

According to Dan Green, TriQuint’s mar-keting director for broadband products, thePAs are competitively priced, and a simplelayout means that only two external compo-nents are needed to produce a 200 MHz band-width. Green added that TriQuint would alsorelease MMIC PAs and drivers to complementthe existing product range in the 2.5 GHz,3.5 GHz and 5.8 GHz bands.

Meanwhile, TAEC showed off its GaAsFETs designed for fixed wireless access appli-cations operating at 3.5GHz and 5GHz. Threeof TAEC’s new transistors operate in the5.3–5.9 GHz frequency range.

“Recent progress in standardization ofbroadband wireless is expected to spur sig-nificant interest and growth in this market,”said Toshi Nakamura, TAEC’s business devel-opment manager for microwave devices.

“Support for WiMAX and unlicensedNational Information Infrastructure fixedwireless access systems in leading PC and net-work chipset solutions is likely to help expandthe market – particularly in regions withoutwidespread availability of DSL or cable.”

Cree’s SiC MESFET is also designed forbroadband PAapplications. But the Durham,NC, company claims that the wide-bandgapproperties of SiC permit twice the normaloperating voltage and a fourfold increase inbandwidth compared with either GaAs-basedor silicon technologies.

Volume production of the transistor isslated for later this year.

Global Communication Semiconductors(GCS), the pure-play compound semicon-ductor foundry based in Torrance, CA, hasextended its proprietary 0.25 µm PHEMTprocess to cover millimeter-wave frequencies.

“Our low-cost 0.25 µm PHEMT processwas developed specifically for transceiverMMIC components,” said GCS’s new CEO,Jerry Curtis. “The device features high fre-quency characteristics, with a cut-off frequencygreater than 60 GHz, a maximum oscillationfrequency greater than 150GHz, and a Gmax ofmore than 15 dB at 30 GHz.”

Curtis continued: “It is ideal for poweramplifiers, gain blocks, LNAs, switches,mixers and other discrete or MMIC compo-nents with operations through Ka-Band (orup to 40 GHz) frequencies.”

According to the new CEO, the unique fea-ture of the GCS process is that it uses opticalgate lithography, which enables low-costmanufacturing and a short cycle time.

Cree to cease siliconchip manufacturing

GCS process targetsmillimeter wave

Cree is to focus on wide-bandgap technologiesfor RF and microwave applications after deci-ding to stop making silicon chips. The Durham,NC, company will close its Sunnyvale-basedsilicon fab by the end of 2005.

Cree Microwave will take orders for sili-con LDMOS products until the end of July,but expects to wind down the business by the end of the calendar year. Closing theSunnyvale facility will cost the company$13 million – 15 million, a total that includesjust under $2 million in severance paymentsto staff, and around $4.7 million in facilitylease obligations.

Cree had been looking for some time to dis-pose of the silicon RF business, which cost theparent company $9.2 million in pre-tax oper-ating loss for the nine months ended March 27.

Manufacture of Cree’s Schottky diodeproduct line, which was recently qualified inSunnyvale, will now be consolidated at thecompany’s Durham, NC, headquarters.

Page 11: VCSELS Uncertain future looms for dilute nitride lasers

Osram Opto Semiconductors says that it hasmade an LED backlight unit that can illumi-nate an 82 inch LCD display. According to thecompany, the backlight, which is the size of adoor and only 40 mm thick, is the largest suchsystem ever built.

The backlight is based on Osram’s GoldenDragon LEDs. Atotal of 1120 chips are used,arranged in clusters of four. Each cluster con-tains one red chip emitting at 625nm, one bluechip emitting at 458 nm, and two green chips

that peak at 527 nm. These emission wave-lengths have been slightly shifted from theregular Golden Dragon output to improve theso-called “color gamut”, or fidelity, of colorreproduction on screen.

Osram’s massive light engine comes at ahigh energy cost, however. It consumes 1 kWof power. The German firm’s rival manu-facturers Cree and Lumileds are also targetinglarge-scale LCD backlights as a critical newapplication for their high-brightness LEDs.

LED NEWS

COMPOUND SEMICONDUCTOR JULY 2005 9

Sumitomo signs $200mpurchase deal with CreeSumitomo Corporation has agreed to buy$200 million worth of Cree’s LED productsduring the fiscal year ending June 2006. Thisdeal follows purchase agreements of $100mil-lion and $160 million made by Sumitomo forCree’s LED chips during the fiscal years 2004and 2005.

Sumitomo, which has a distributorship dealwith Cree that extends to June 2007, sells thechips to Japanese packaging companies.

Cree and Sumitomo anticipate that the pur-chases, which are subject to end-customerdemand, will be made across Cree’s full lineof LED chip products and will includeMegaBright, XBright, and XThin LEDs.

With Cree’s revenue now in the region of$100 million per quarter, the Sumitomo deal

will probably account for around half ofCree’s sales in the fiscal year 2006.

“The renewal of our agreement and in-creased purchase commitments reflects thegrowth we are forecasting in Japan, and high-lights the strength of our partnership withSumitomo and our Japanese customers,” saidCree’s chief executive officer Chuck Swoboda. Cree has launched two new energy-efficientLED chips. The MegaBright 290 Gen 2,which is designed for camera phone flash andLCD backlighting applications, is said to be30% brighter and to use 8% less power thanthe chip it replaces. The RazerThin 230 isdesigned for keypad backlights and also con-sumes 8% less power than the previous gen-eration of devices, says Cree.

Osram unveils giant television backlight

Lamina Ceramics, which develops andsells arrays of high-brightness LEDs, hasraised $9 million in Series C financing.Granite Global Ventures led the round, andYi Pin Ng from the investment firm nowjoins the Lamina board. Other investors inthe latest round of financing includedMorgenthaler Ventures and SpaceVest.

In February Lamina claimed to havedeveloped a white-LED array capable ofemitting 28 klm. Lumileds, a maker of high-brightnessLED chips and modules, won a silver medalfor its LCD television-backlight product atthe recent Display of the Year awards. Theaward was made at the Society forInformation Display event held in Boston.

Taiwan-based LED maker GenesisPhotonics has bought a large-scaleThomas Swan MOCVD reactor as it looksto ramp up its GaN device production. Theclose-coupled showerhead reactor has acapacity of 19 two-inch wafers. Agilent Technologies has introduced twoside-firing, tricolor, surface-mount LEDs thatit says allow handset and PDA designers tomix separate red, green and blue light sourcesin any combination.

The LED is mounted vertically, with the light emitted through a lens on the siderather than the top of the package.According to Agilent, this simplifies thecoupling of emitted light to a light pipe forbacklighting keypads and LCD screens.

In brief

Page 13: VCSELS Uncertain future looms for dilute nitride lasers

Comlase, the Swedish company that devel-ops process technology for semiconductorlaser production, has hired Olof Sahlén as itsnew vice-president of engineering.

Sahlén will direct development of the com-pany’s next-generation Nitrel process reactor.The reactor is said to increase manufacturingyield, performance and reliability of all kindsof diode lasers by eliminating device failuresthat result from facet oxidation.

Sahlén was previously at the Swedish pho-tonics company Optillion.

OPTO NEWS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 2005 11

Sharp Laboratories Europe fabricatescontinuous-wave blue lasers with MBEEngineers at Sharp’s research laboratory in Oxford, UK, have fabricated the firstcontinuous-wave InGaN-based laser diodesto be grown using an MBE process.

Commercial blue-violet laser diodes arenow grown by MOCVD, but early last yearJon Heffernan and colleagues at Sharp Labora-tories Europe produced violet diodes usingMBE for the first time.

However, those first devices only worked inpulsed mode and had a high threshold current.To be used commercially, the lasers need toemit laser light continuously at a power outputof around 90 mWto read data on optical discs.

“This latest result confirms that MBE is aviable growth method for nitride lasers,” saidHeffernan. “Sharp is now in the unique posi-tion of having both MBE and MOCVD tech-nologies available.”

At room temperature, the Sharp team’s lat-est lasers emitted around 0.2 mW for threeminutes before burning out. The continuous-wave threshold current was 125 mA, corres-ponding to a threshold current density of5.7 kAcm–2.

Heffernan and colleagues made the laserson n-type GaN substrates supplied by Sumi-tomo Electric Industries. The active laserregion included three undoped 3 nm-thickInGaN quantum wells with a 9:1 gallium-indium ratio.

The lasers operated in continuous-wavemode for around three minutes, and across thewafer there was a variation of some 6nm aboutthe crucial emission wavelength of 405 nm.

The Sharp team has also produced blue-violet lasers on sapphire template substrates,where devices have shown similar thresholdcurrent densities.

Growing the lasers by MBE rather than byMOCVD promises to lower manufacturingcosts by reducing the consumption of ammo-nia gas, which provides the nitrogen contentin the devices.

However, Heffernan and colleagues stillhave much development work to do beforethe MBE method can be considered suitablefor mass production. The team will concen-trate on improving device operating charac-teristics by optimizing material growthconditions and p-type doping in the AlGaN

cladding region. The aim is to reduce the oper-ating voltage of the lasers, which should inturn increase the lifetime of the devices.

Increasing the laser output power has notbeen the major priority so far, but the team willnow look at the facet coating and try toimprove the efficiency of the quantum wells.

Significantly, the European laboratory’sparent company already uses MBE in its massproduction. At its Mihara fabrication facilityin Hiroshima, Sharp manufactures a signifi-cant proportion of the world’s red lasers thatare used in DVD applications.

Sharp is also part of the Blu-ray DiscAssociation, along with blue laser manufac-turers Nichia, Sony and Matsushita Electric.

Fabricated by MBE, this 405nm laser diode developed at Sharp Laboratories Europeoperates in continuous-wave mode, which is a prerequisite for applications in next-generation DVD players and recorders. The laboratory’s parent company is already a bigplayer in red laser manufacturing for today’s DVD applications, for which it also uses MBE.

SH

AR

P L

AB

OR

ATO

RIE

S E

UR

OP

E

Comlase VP to drivereactor development

Japanese optical disc manufacturer TDKclaims to have developed a prototype record-able Blu-ray Disc with a capacity of 100 GB.

Using four recording layers, the disc alsohas twice the recording speed of today’s Blu-ray specification. And with double the capa-city of previous dual-layer Blu-ray discs, theformat could store around nine hours of high-definition video.

Consumer electronics firms are planningto introduce next-generation DVD technol-ogy in the next few months, and the move is

expected to kick-start the mass-manufactureof GaN-based blue-violet lasers, which arerequired to read data on the discs.

Meanwhile, four companies working underthe rival high-definition DVD (HD-DVD)industrial group have developed a recordabledisc that is compatible with DVD-R disc pro-duction lines.

The discs will be commercialized by HitachiMaxell and Verbatim early next year, to coin-cide with the launch of HD-DVD recorders anddrives by key hardware manufacturer Toshiba.

A new dye developed by the companies issaid to be highly sensitive to blue light andcompatible with the spin-coating process thatis used by DVD-R disc manufacturers.

Disc manufacturersprepare for new DVD

Page 14: VCSELS Uncertain future looms for dilute nitride lasers

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 200512

Photonic chip and module-maker Finisarracked up a net loss of $112.7million in its fullfiscal 2005 results.

The loss was almost unchanged from theprevious year’s figure of $113.8 million,despite a 51% rise in overall sales that totalleda record $280.8 million.

Reporting its results for the final quarter offiscal 2005, Finisar says that if a number ofone-time financial charges were excluded, thefiscal 2005 loss would have been a mere$43.1 million.

At $74.9 million, Finisar’s fourth-quarterrevenue was also a company record, and rep-resented a 2% sequential increase. The quar-terly net loss was $36.5 million.

Finisar’s latest balance sheet shows thatthe Sunnyvale, CA, firm, which in Januaryacquired the optical transceiver businesspreviously belonging to Germany-basedInfineon Technologies, holds $29.4 millionin cash and cash equivalents. The companylists $72.9 million in short-term investments.

Finisar also has a new member on its boardof directors. David Fries represents the ven-ture capital company VantagePoint Partners,which recently bought 34 million shares inFinisar from Infineon Technologies.

Finisar loss mountsdespite high revenue

FIBER NEWS

Emcore buys JDSU cable-TV unitIII-V component-maker Emcore has acquiredthe analog cable-TV (CATV) and RF-over-fiber business units of JDS Uniphase (JDSU)for $1.5 million.

In addition, Emcore has agreed to buy$2.8million–3.8million worth of componentsfrom JDSU over the next two years. TheSomerset, NJ, firm will also pay JDSU a roy-alty on licensed intellectual property.

The CATV business brought in around$20 million in revenue over the past year, andEmcore expects its own sales figures to beboosted by $10 million–15 million this yearas a result of the acquisition.

Product lines in the transaction include:1550 nm broadcast transmitters, which linkcable networks and hubs; 1310 nm transmit-ters linking cable hubs and nodes; 1550 nmdense wavelength division multiplexedtransmitters; analog receivers; amplifiers forfiber-to-the-premises applications; and RF-over-fiber specialty products for defense and

satellite communications. Under the terms ofthe deal, the two companies have also agreeda preferred supplier arrangement for certain(undisclosed) optical components.

Emcore says that it will now set up a designcenter in northern Pennsylvania, close toCATV product customers. JDSU employeesacquired through the transaction will continuein product design, marketing, sales and appli-cations engineering functions.

Hong Hou, vice-president and generalmanager of Emcore’s Ortel division, said:“Emcore now offers complete product solu-tions to OEMs in this market.”

Continuing to focus down on its core busi-ness activities, JDSU has also completed thesale of three of its manufacturing sites toThailand-based Fabrinet.

Fabrinet now owns the former JDSU sitesin Mountain Lakes and Ewing, both in NewJersey, as well as the crystal-manufacturingoperation in Fuzhou, China.

Yves LeMaitre is the new vice-presidentand general manager of Avanex’s optical-components business unit. The newlyformed unit, which was created as a resultof the photonic chip-maker’s recentrestructuring, combines the former activeand passive discrete-components groupwith the transmission-modules unit.LeMaitre was previously at Lightconnect, aCalifornia-based MEMS component maker.

Page 16: VCSELS Uncertain future looms for dilute nitride lasers

WIDE BANDGAP NEWS

COMPOUND SEMICONDUCTOR JULY 200514

Cree has won a $12.1 million cost-plus-fixed-fee contract to develop high-voltageswitches and diodes based on SiC. Under theOffice of Naval Research award, Cree willwork on the prototype devices between nowand November 2006.

The company says that the technologydeveloped in the Phase II contract will sig-nificantly reduce the size and weight ofpower-management equipment aboard the USNavy’s next-generation aircraft carriers andother sea vessels.

“Building on the tremendous success ofPhase I, during which Cree demonstrated10 kV, 50 A PiN rectifiers and the first 10 kVSiC MOSFETs, we intend to scale thesedevices in size, and build power modulescapable of 10 kV and 110 A during Phase II,”

noted John Palmour, Cree’s executive vice-president for advanced devices. “Thesedevices could eventually be used beyond shipapplications to optimize electrical power dis-tribution for greater efficiency and rapidpower switching across any major power grid,particularly for long-haul lines.”

The project also calls for the developmentof extremely low defect density n-type 4H-SiC substrates and epitaxy required for creat-ing these large-area high-current high-voltagepower devices.

Powerex of Youngwood, PA, will performmodule design and testing. Raytheon Integ-rated Defense Systems of Sudbury, MA, andGeneral Atomics of San Diego, CA, will per-form systems studies on the solid-state powersupplies proposed for this application.

Arkansas SiC team gets$1m to stop blackoutsEngineers at the University of Arkansas (UA)have received $1 million from the US gov-ernment to help set up a technology center thatwill develop SiC chips to upgrade the coun-try’s electrical power grid.

The National Center for Reliable ElectricalPower has been established in direct responseto the 2003 power blackout that hit much ofthe northeastern US.

Alan Mantooth, a UAprofessor of electri-cal engineering and director of the center, said:“We have to limit potentially catastrophicevents so that people don’t get hurt and equip-ment doesn’t get destroyed.”

During the 2003 power outage, obsoleteelectromechanical current limiters that weresupposed to contain surges in power wereblamed for failing to contain the blackout.

Mantooth explained that the outage, whichcost billions of dollars, was triggered by astorm over Ohio that felled trees onto trans-mission cables. The fallen trees caused a hugepower surge that the current limiters shouldhave contained by grounding the charge.

Instead, those mechanical switches failedor did not function quickly enough. The resultwas a cascade of surging currents that spreadfrom Ohio to hit New York City and Detroit,as well as the Canadian cities of Ottawa andToronto. Nuclear power stations in the statesof New York and Ohio were forced to shut

down, and air traffic into affected airports hadto be diverted.

“Even with the electromechanical switchesfunctioning properly, the entire process is tooslow,” said Mantooth.

Because SiC chips can react to power surgesfaster than mechanical devices, as well as with-stand higher voltages and operate at elevatedtemperatures, they have been identified as oneof the solutions to the power grid problem.

“We want to get the electric system of ourcountry to react like a computer – at electri-cal speeds, not mechanical speeds,” saidMantooth. “The catastrophe of the [2003]blackout wasn’t the trees falling on powerlines. It was the cascading effect.”

“None of the built-in protection devicesreacted quickly enough or properly. What youneed is faster acting, more reliable, purelyelectrical systems – what we refer to as solid-state solutions.”

Mantooth and his UAcolleagues will gen-erate mathematical models of SiC devices tosimulate the design of large electrical systems.Those devices will then be tested and pack-aged to ensure that they don’t break downwhen subjected to high voltages and currents.

Teams at the University of Wisconsin-Madison, the University of Tennessee, VirginiaTech and Georgia Tech have received fundingfor related research.

US Navy finances $12m power project

LayTec GmbHHelmholtzstr. 13-14D-10587 Berlin · GermanyTel.: +49 (30) 39 800 80-0Fax: +49 (30) 31 80 82 37Email: [email protected] www.laytec.de

The new EpiCurve measures real-time wafer curvature.As the demand for larger compound wafers rises, bowing measurements become indispensable. LayTec´s new in situ monitor EpiCurvemeasures wafer curvature online during growth. Optimize your growth and maximise your yield with EpiCurve’s unique combination of bowing, true temperature and growth rate measurement!

Get the bows out

∆X

epicurve-final.ai 07.06.2005 17:51:47 Uhr

Page 17: VCSELS Uncertain future looms for dilute nitride lasers

15COMPOUND SEMICONDUCTOR JULY 2005

WIDE BANDGAP NEWScompoundsemiconductor.net

CAP Wireless sets its sights onachieving full X-band coverageMicrowave and RF subsystem supplier CAPWireless is to develop a 150 W GaN poweramplifier (PA) under a US Air Force project.

The company, which is based in NewburyPark, CA, has been awarded $750,000 undera small business innovation research programto develop the PA, which it says will cover theentire X-band frequency range.

CAPWireless will base the amplifier on itspatent-pending “spatial combiner” technol-ogy – branded Spatium – which allows a num-ber of chips to be combined to generatehigh-power amplification across a decade ofbandwidth. The X-band PA development isexpected to be complete by the end of 2006.

Currently using GaAs PHEMTs and FETssupplied by Hittite Microwave and TriQuintSemiconductor in its amplifiers, CAP Wire-less will source its GaN chips from Cree. ScottBehan, the new vice-president of marketingat CAP Wireless, told Compound Semicon-

ductor that Cree also designed the chips thatwould be used in the X-band amplifier. ThePA will feature 16 GaN devices in total.

Founded by GaAs industry veteransCharles Abronson and Paul Daughenbaugh,CAPWireless has been in “stealth mode” forthe past eight years. It now employs 15 peo-ple and has an annual revenue of around$3 million, says Behan.

The Spatium technology, which was devel-oped primarily at the University of California,Santa Barbara, is now subject to a patentinfringement lawsuit filed by rival amplifiercompany Wavestream Corporation.

Wavestream contends that the CAP Wire-less technology infringes an exclusive licensethat Wavestream has signed with the Uni-versity of California, this time centering ona power-combining method developed at theCalifornia Institute of Technology. CAPWireless denies any such infringement.

Excellence in system design, software flexibility,and etching performance.

partners in progress focused on excellence

High etch rates

Superior uniformity

Low bias, low damage etching

Small footprint

Etching of GaAs, InP, GaN and Sapphire

MEMS, Waveguides,LEDs and VCSELs

SAMCO ICP Etching System

RIE–200iP

Visit us at Semicon WestBooth 2140

Headquarters: Kyoto, Japan

Phone: +81 (75) 621-7841Fax: +81 (75) 621-0936 www.samcointl.com/ICP

US Office: Sunnyvale, CA

Phone: +1 (408) 734-0459Fax: +1 (408) 734-0961

etching

HRL debuts GaNfabrication serviceHRL Laboratories is offering a device pro-totyping service for GaN-based integrated cir-cuits. The Malibu, CA, research laboratory,created by legendary aviator Howard Hughes,will provide “selected customers” with design,fabrication and test services for microwaveand millimeter-wave ICs and modules.

HRLhas produced a design kit and will alsoprovide fabrication services for customdesigns. The frequency range covered extendsfrom the ultrahigh through to the “Q-band”.It has also developed a process for GaN HFETmanufacturing on 100 mm substrates, butMMICs are currently made on 3 inch wafers.

According to the company, HFETs madeon the larger wafers have now been demon-strated. The 100 mm substrates allow a 70%increase in fabricated devices per wafer.

Last year, HRLmade a 20 W X-band mod-ule based on GaN, as well as a wideband low-noise amplifier and a power MMIC operatingin the Ka-band for satellite communications.

Page 18: VCSELS Uncertain future looms for dilute nitride lasers

compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 200516

GAN LASERS

Mechanical cleaving of semiconduc-tor epiwafers is the usual way todefine the reflective mirrors, or

facets, at the cavity ends of edge-emittingdiode lasers. However, for most semicon-ductor materials this cleaving process isimprecise compared with techniques such asphotolithography. In addition, cleaving cre-ates fragile bars and minuscule chips that areawkward to handle during device testing andany subsequent operations. Mechanical cleav-ing tends to be incompatible with monolithicintegration, as the wafer must be physicallybroken to obtain fully functional lasers.

A problematic procedureCleaving GaN is particularly problematic.Nichia Chemical of Japan first used mechan-ical cleaving to fabricate GaN-based bluelasers on sapphire substrates in 1995 and hassince made continuous-wave lasers commer-cially in the same way. However, the cost ofthese lasers remains high.

Cleaving sapphire to form GaN-based laserfacets is difficult. The substrate has severalcleave planes of approximately equal strengththat are orientated at such acute angles to oneanother that minute perturbations occurringduring cleaving can redirect a fracture inter-face from one cleave plane to another. Despitethis problem, sapphire’s low cost and its sta-bility during the high-temperature growthprocesses needed for GaN deposition havehelped it to remain the substrate of choice fornitride laser fabrication.

Another disadvantage of sapphire and themore expensive SiC substrates is the signifi-cant lattice mismatch with GaN that causes thegrown layers to have high defect densities.Free-standing GaN substrates could offer a par-tial solution, but they are only just becomingavailable. However, unlike InP and GaAs,which have a cubic crystal structure, GaN’sstructure is hexagonal. This makes GaN muchharder to cleave, and it is expected that cleav-

ing will remain a challenging process even ifGaN substrates do become the standard.

Several years ago an alternative technologywas pioneered at Cornell University, NY. Ituses a process based on photolithography andchemically assisted ion-beam etching(CAIBE) to form the facets. BinOptics Cor-poration, Ithaca, NY, has since developed com-mercially available InP-based lasers using thisproprietary etched-facet technology (EFT).

These devices have precisely located mir-rors with a quality and reflectivity equivalentto those obtained by cleaving. In the EFTprocess, lasers are fabricated on the wafer inmuch the same way that integrated circuits arefabricated on silicon. This process allows thelasers to be monolithically integrated with otherphotonic devices on a single chip and to betested inexpensively at wafer level (figure 1).

The high process yield, the low cost and thepotential for fabrication of integrated GaNphotonics make etched-facet blue-emittinglasers very attractive. As a result, BinOpticshas developed a modified version of EFT forGaN. To obtain the straight surfacesdemanded by photonic devices requires high-quality etching of the semiconductor, but neg-ligible etching of the masking material. Thesmooth etch quality of the GaN facet can beseen in the SEM image in figure 2.

Reducing defect densityThe relatively high defect density in depositedGaN layers has had a strong impact on the yieldand cost of blue lasers. GaN grown on sapphiresubstrates has a typical defect density of6 × 108 cm–2, primarily resulting from latticemismatch. Afew research labs have developedtechniques such as epitaxial lateral overgrowthon sapphire that can reduce defect densitiesto roughly 107 cm–2, while densities of as lowas 3 × 105 cm–2 have been reported for mater-ials grown on very small GaN substrates.

To reduce the problems associated withdefects, manufacturers can produce lasers

BinOptics’ etched-facet technology avoids the drawbacks of thestandard cleaving process used in laser production, such as pooryields, while allowing on-wafer testing. AlanMorrow andAlexBehfar describe how the technique can benefit GaN lasermanufacturing and speed up the market penetration of next-generation DVD players.

waveguide formationcontacts

cleave to formmirrors

stack and coatmirrors

test

singulate

package

conventional process

45° mirror

ridge laser

distributed Bragg reflector

monitoring photodiode

Fig. 1. BinOptics’ EFT process (right), which employs phadvantages over the conventional method (left), which i

Fig. 2. CAIBE delivers high-quality etched facets inGaN. This technique allows on-chip monolithicintegration of lasers with other photonic functions.

Fig. 3. BinOptics’ horizontal-cavity surface-emittinglaser is manufactured using the EFT process toproduce emission perpendicular to the growth plane.

5 µm

GaN etchedfacet

oxide mask

Facet etching promises in

Page 19: VCSELS Uncertain future looms for dilute nitride lasers

17COMPOUND SEMICONDUCTOR JULY 2005

GAN LASERScompoundsemiconductor.net

with shorter cavities, which results in fewerdefects per device and delivers a much higherdevice yield. While difficulties associatedwith cleaving restrict the minimum cavitylength to about 600 µm, facet etching allowsmuch shorter cavities of 100µm. Lasers of thissize have a lower maximum power rating dueto the shorter cavity, but because most will beused in next-generation DVD-ROM applica-tions, a relatively low output power is accept-able. The specific fabrication, integration andfull wafer-testing capabilities enabled by EFTalso deliver significant benefits to the fabri-cation of higher-power GaN lasers forwritable optical-disc applications.

BinOptics is currently shipping EFT-manu-factured InP-based lasers to several cus-tomers. The design flexibility offered by EFTcan deliver products with exceptionally highpower outputs and efficiencies, and lowthreshold currents. Device reliability has beenproved by accelerated-ageing tests, lastingmany thousands of hours, in packaged andnon-hermetic conditions.

BinOptics has also demonstrated a noveland cost-effective approach to building ahorizontal-cavity surface-emitting laser(HCSEL) using EFT. This is a semiconduc-tor laser with an elongated cavity (on a sub-strate) that is fabricated by etching a 45°angled facet at the emitter end and a 90° facetat the back end of the cavity (figure 3). Therear reflective region can incorporate anetched distributed Bragg reflector next to therear facet, or dielectric coatings can be usedfor reflectivity control. Monitoring photo-detectors and receive detectors can also beintegrated onto the chip to produce a compactdiplexer for two-way communication inaccess networks.

Mirroring InP progressThe company’s development strategy for bluelasers is similar to that used for its InP-baseddevices. It started by demonstrating effectivefacet etching with adequate surface quality andselectivity in GaN (see figure 2). This was fol-lowed by fabricating edge-emitting blue-laserchips with etched facets – initially Fabry–Pérotridge waveguide lasers emitting at 405 nm foroptical-storage applications. BinOptics hasalready demonstrated a process to produce thistype of structure (see figure 4) and its efforts

are now directed at optimizing the device’soptical and electrical properties. The next stageis to demonstrate a surface-emitting blue laserusing the HCSEL design.

If surface-emitting lasers can be fabricated,this could lead to two-dimensional arrays forhigh-power applications and monolithic integ-ration of additional functions. For example,an HCSEL could be integrated with receivedetectors to create a compact optical head.BinOptics intends to develop these lasers andintegrated devices for other applications thatrequire a wavelength accessible with GaN-based material. The company closed a $10mil-lion round of financing in February that willpartly fund this development.

Potential market impactIn addition to their use in next-generation DVDplayers (high-definition DVD and Blu-ray),blue semiconductor lasers are key componentsin other emerging applications, including thedetection of biological and chemical weapons,pollution monitoring, projection displays andhigh-quality laser printers. According to mar-ket analyst Asif Anwar of Strategy Analytics,growth in all of these sectors will drive themarket for 405 nm lasers from $9 million in2003 to $272 million in 2009, although thedata-storage market will dominate.

GaN-based EFT could play a major role indriving this increase in sales through increaseddevice yields, chip-size reduction and func-tional integration. Affordable blue lasers willaccelerate the adoption of the emerging high-density-disc standards and open up additionalhigh-volume market opportunities.

Further readingABehfar-Rad et al. 1989 Appl. Phys. Lett. 54439.P Vettiger et al. 1991 IEEE J. QuantumElectronics 27 1319.P Perlin et al. 2004 MRS Internet J. NitrideSemicond. Res. 9 (3) 1.A Behfar et al. 2005 Photonics West, paper5737.

Alex Behfar is chairman and chief executiveofficer and Alan Morrow is vice-president oftechnology development at BinOpticsCorporation. Enquiries should be directed toAlan Morrow at [email protected].

waveguide formationetch mirrorscontactscoatings

test

singulate

package

BinOptics’ approach

hotolithography and CAIBE to etch laser facets, offersinclude full-wafer processing and testing.

Fig. 4. One advantage of BinOptics’ EFT over amechanical cleaving process is that a blue emitter’scavity length can be reduced from 600 to 100µm. Thisminiaturization reduces the number of defects withinthe cavity, thereby increasing device yield.

ncreased blue-laser yield

Page 21: VCSELS Uncertain future looms for dilute nitride lasers

GAAS COMPONENTS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 2005 19

TV evolution breeds businessfor GaAs chip manufacturers

Revenue from gallium arsenide (GaAs)-based content deployed in cable tele-vision (CATV) infrastructure is set to

rise from $20million in 2004 to $47million in2009, according to market analyst Asif Anwarof Strategy Analytics. Anwar believes that thisgrowth will come from increased sales ofhigher-bandwidth amplifiers used to deliverdigital and high-definition TV formats.

This optimistic outlook is shared by RonMichels, Anadigics’vice-president of broad-band products. Michels – who claims thatAnadigics is the leading manufacturer ofGaAs components for CATV infrastructureand for set-top boxes that convert cable broad-casts into signals suitable for TV inputs –believes that increased sales of advanced set-top boxes will also boost the company’s rev-enue. He says that these latest-generationcomponents require additional GaAs for func-tions such as viewing one channel whilerecording another.

Solid growthAccording to Michels, roughly one-third ofAnadigics’ revenue comes from the CATVsector. In fiscal 2004 this sector equated toabout $30 million. Sales of CATV-related rev-enue experienced double-digit growth lastyear, and Michels expects “solid” businessfrom this sector for the next three to four years.He predicts that Anadigics’ infrastructurebusiness will maintain healthy revenues, butthis will be overshadowed by sales growth ofGaAs chips used in set-top boxes, figures thatare not included in Anwar’s report.

Michel explains that Anadigics’componentsare used throughout the CATVnetwork. At the“headend”, where the broadcast originates,they amplify the transmitted RF signal that isthen converted into optical signals transmit-ted to a local hub; in the 75 Ω, 0.25 inch-thickcoaxial cable that connects the hub to the homethey are used to boost the signal; and in the set-

top boxes they are used as tuners to select thedifferent channels available.

Anadigics’ CATV unit shipments aredominated by chipsets for set-top boxes(2 million per month), followed by infra-structure components (100,000 per month).

Amplifiers for the headend represent thesmallest sector of the business, contributingsales of only 5000 components per month.

Chipsets are sold by Anadigics for use asintegrated tuners in set-top boxes. “Anadigicsis the leading supplier for CATV applicationsspecifically for set-top boxes,” said Michels.The company has been shipping a module withan up converter and a down converter on sep-arate packages, because when the product wasdeveloped it was not possible to deliver an ad-equate performance from a single package.

Integrated processingHowever, Anadigics has just released an integ-rated tuner in a 6 × 6 ×1 mm package, con-taining a GaAs and a CMOS chip. “Thecombination of using GaAs on the front endof the tuner and CMOS for the rest of it givesus a cost-effective, small die area, even thoughit uses two chips,” remarked Michels. Thetuner was produced using a derivative of thecompany’s integrated HBT and PHEMTprocess, which allows production of both tran-sistor types on the same InGaP/GaAs die (seeCompound Semiconductor June p6). Thehybrid chip faces competition from all-silicontuners, but Michels thinks that Anadigics’product will triumph due to its lower noise.

Anadigics also manufactures GaAs-basedactive splitters for set-top boxes, which sep-arate the RF signal coming into the set-top boxinto two or three different outputs. Activesplitters are a pre-requisite for the growingmarket of advanced set-top boxes that containhard drives. These boxes allow viewersadvanced digital functions such as displayingtwo programs on screen simultaneously,rewinding and pausing live TV, and record-ing one channel while watching another.

“You have all sorts of silicon manufactur-ers trying to compete with us for active linesplitters, but nobody has been able to comeclose,” boasted Michels. He believes GaAs

Advances in television broadcasting, such as interactive services and the delivery of high-definitioncontent, are generating demand for higher bandwidth cable-television networks. This evolution ofthe medium is driving an increase in shipments of gallium arsenide components, according to twoleading RF chip manufacturers. Richard Stevenson investigates.

Anadigics, which generates one-third of itsrevenue from CATV products, uses GaAscomponents in its line amplifiers forinfrastructure applications and in integratedchipsets for set-top boxes used in the home.

Freescale, which manufactures lineamplifiers for CATV infrastructure, claims tobe witnessing a shift in this sector fromsilicon to GaAs-based products.

AN

AD

IGIC

SF

RE

ES

CA

LE

Page 23: VCSELS Uncertain future looms for dilute nitride lasers

21COMPOUND SEMICONDUCTOR JULY 2005

GAAS COMPONENTScompoundsemiconductor.net

splitters have a significant advantage overtheir silicon counterparts in terms of linear-ity, and are better suited to the wide band-widths needed to deal with several hundredseparate channels. Michels adds that when thesignals are split into two, 3dB of power is lost.If no action is taken to compensate for thisloss, he explains, then there is a 3 dB increasein noise: “Again, the low noise [associatedwith] GaAs is the key here, both on the infra-structure side and in the set-top box.”

According to Michels, it is the increasingpopularity of advanced set-top boxes that areboosting Anadigics’ revenue. As the splitteris located at the front end of the set-top box,separate tuners are required for each line afterthe incoming signal is split. So, for a typicaltuner, there could be one splitter, two tunerseach containing two separate chips, and occa-sionally a reverse amplifier that is used to sendinformation back to the headend so that view-ers can access interactive services. “That’s [upto] six GaAs parts in many of the boxes thatare being shipped by Anadigics,” saidMichels, although he adds that the companyis seeing a decline in reverse-amplifier sales.

GaAs beats silicon for noiseAnother function of the various splitters andtuners is to amplify the RF signal, saysMichels. Although the splitters provide only4–5 dB of gain, integrated tuners can con-tribute a further 35 dB. Michels says that thisamplification is needed primarily to cater forthe large dynamic range of the signal levelsentering the box. Gain is only required whenthe signal is low, which occurs when the dis-tance between the set-top box and the finalamplification stage of the CATV broadcast isrelatively large.

Anadigics also sells products for CATVinfrastructure, such as line amplifiers, to whatit describes as the leading supplier of CATVinfrastructure and 25–30 second-tier suppli-ers. These line amplifiers operate over the50–870 MHz frequency span. The upper endis expected to be extended to 1GHz to increasethe available bandwidth.

Although the upper end of this frequencyrange is comparable to frequencies used incellular communications, the amplificationrequirements are remarkably different. Lineamplifiers for CATV infrastructure plug intoa mains transformer, and so, unlike mobile-phone power amplifiers, little effort is directedtoward improving operating efficiencies.However, CATV amplifiers have to deal withhundreds of different channels, and must

deliver uniform gain over a broad bandwidthto within a few tenths of one decibel. Lineamplifiers must also have low noise levels,because passing several hundred video chan-nels simultaneously through an amplifier cancreate cross-modulation distortion.

The number of amplifiers installed betweenhub and home depends on the transmission dis-tance and the number of customers. If the localnetwork serves only 100 homes, then amplifi-cation may not be necessary, but most cablesystems transmit to 1000–2000 homes, whichmeans that several amplifiers are needed.

GaAs offers more reliabilityAside from greater linearity and lower noise,Michels claims that GaAs amplifiers also offergreater reliability. This is important for its cus-tomers because supporting cable networkswith only slightly higher failure rates is con-siderably more expensive.

Another line-amplifier manufacturer isMotorola spin-off Freescale – which targetsa small part of its business at CATV. ScottCraft, Freescale’s product manger for CATVin the RF division, says that the company pro-

duces hybrid and IC amplifiers for infra-structure applications.

Hybrid amplifiers are driving sales of GaAscomponents at Freescale. Craft said: “If welook at 2004, the year-on-year increase inGaAs was up 86%, but silicon bipolar wasbasically flat. The relative share has movedfrom silicon to GaAs. I would say that, world-wide, more than half of hybrid amplifiers useGaAs right now. That’s increasing, and willcontinue to increase.”

Craft identifies lower distortion as the pri-mary advantage offered by GaAs amplifiersover their silicon-bipolar rivals. This charac-teristic allows transmission of stronger signals,resulting in lower noise and access to a greaterdynamic range. “The higher output capabilitymeans that you can space your amplifiers fur-ther apart, and that has a direct relationshipwith system cost,” explained Craft.

The transition from analog to digital CATVtransmission is yet to have a dramatic impacton amplifier requirements, says Craft, becausetoday amplifiers have to support both broad-cast formats.

Craft thinks that the CATV market will

RF program transmission

opticalfiberline

amplifierline

amplifier

line amplifier(Anadigics or Freescale)

conversion into optical signals

hub (optical to RF conversion and amplification)

integrated tuner(e.g. Anadigics

AIT1010)

splitter(e.g. Anadigics

APS3604)

TV

advancedset-top

box

digitalrecorder

Shipments of GaAs components, which are used throughout the CATV network, arepredicted to rise significantly over the next five years. The devices are claimed to offergreater linearity and lower noise than their silicon counterparts.

Page 24: VCSELS Uncertain future looms for dilute nitride lasers

expand quickly over the next few years as thenetwork bandwidth grows and transmission isextended to around 1GHz. “I see CATVgoinginto other countries, but I think you have to bevery cautious there,” remarked Craft, who addsthat in developing countries CATV may neverbe rolled out, because there are now manyoptions for broadband transmission networksavailable that did not exist 10years ago.

Craft believes that broader bandwidthamplifiers will start replacing existing lineamplifiers so that CATV networks can keeppace with the fiber-to-the-home systems nowbeing deployed. This upgrade to 1 GHz offersa straightforward way to add more channels,says Craft, who expects steady growth in theGaAs amplifier business. “The overall mar-ket for this type of amplifier will grow overthe next five years, but it will not be dramaticgrowth. It will grow for five, maybe 10 years,but will decline further out.”

One of the long-term issues with cable,explains Craft, is the significant attenuationof the RF signal at frequencies above 2 GHz,which would limit the number of TV chan-nels that CATV can transmit. However, he

points out that an all-digital network willallow more channels. If the network operatedat frequencies of up to 1 GHz, then with suit-able compression 900 channels could be trans-mitted. That would give viewers a staggeringchoice of TV channels, although some view-ers would have to upgrade their set-top boxesto receive the new transmissions.

Another US chip maker producing compo-nents for CATV is Sirenza Microdevices.According to Dan Wilmot, Sirenza’s directorof new business development, the firm’sCATV business, which is dominated by sili-con products, accounts for 3–5% of revenue.

The company is now producing amplifiersand receivers for the return path to the head-end. This path, which gives viewers access toTV-channel guides and allows authorizationof pay-per-view content, uses dedicated chan-nels operating at around 80 MHz. Wilmotbelieves that at these frequencies silicon offersa better performance than GaAs, which canrequire the addition of bandpass filters to oper-ate in this frequency domain.

Wilmot also believes that the linearity ofsilicon is good enough for line amplifiersoperating at frequencies of up to 1 GHz.“Silicon is sufficiently linear,” claimedWilmot, who disagrees with Michels ofAnadigics, and estimates that more than 80%of today’s line amplifiers use silicon: “I’m not[aware of] the transition to GaAs myself, butmaybe there’s something under the radar thatI’m not seeing.”

The majority of Sirenza’s CATV business isbased on silicon. Its reverse amplifiers areused to transmit data back to the headendfor interactive services such as pay-per-view authorization.

22 COMPOUND SEMICONDUCTOR JULY 2005

compoundsemiconductor.netGAAS COMPONENTS

Page 25: VCSELS Uncertain future looms for dilute nitride lasers

COVER STORY compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 2005 23

Manufacturers of transceiver prod-ucts for long-wavelength opticaltelecommunications use lasers

based on InPsubstrates, despite their high costand fragility. However, 1.3 µm emitting lasersgrown on GaAs substrates, with a nitrogen-containing active layer (usually InGaAsN),hold great promise as a cheaper alternative.

These dilute-nitride lasers can either takethe form of edge-emitters, or exploit AlGaAsdistributed Bragg reflector technology asVCSELs. This class of emitter also has anintrinsic advantage over InP-based lasers – ahigher conduction band offset that is claimedto improve high-temperature performance.Despite these attractive attributes and a sig-nificant research effort from academia andindustry, dilute nitrides have failed to fulfilltheir potential, and the material system is stillregarded as a difficult one to grow.

Infineon leads the wayOne maker of VCSELs containing dilute-nitride active layers is Germany-based InfineonTechnologies. The company produces 1310nmVCSELs at its Munich facility on 4 inch GaAssubstrates using MBE growth.

Infineon, considered by many to be the lead-ing exponent of dilute-nitride laser technology,held on to this product range following the saleof its optical-transceiver business to US com-pany Finisar earlier this year (CompoundSemiconductor March p6). However, the firmis looking for a buyer for its fiber-optic-compo-nents business as it does not view this as part ofits core activities. Infineon plans to end itsinvolvement in fiber optics by the end of the year.

Ayad Abul-Ella, general manager and vice-president of Infineon’s remaining fiber-opticsbusiness unit, says that the company starteddeveloping dilute-nitride lasers in the mid1990s. “In 2001, when the bubble burst, costreduction became a dominant driver in the

industry. We saw our 1310 nm VCSEL tech-nology as one enabler for cost reduction, andinvested heavily from 2001 onwards,” saidAbul-Ella. This year the firm completed life-time testing and chip qualification, and pro-duced engineering and qualification samples,but it has not moved into volume production.

Abul-Ella says that Infineon’s 1310 nmdilute-nitride VCSELs are cheaper to manu-facture than equivalent emitters containing adistributed feedback (DFB) structure. Hethinks that the technology could also replaceFabry–Pérot lasers for longer-distance trans-mission, but cost savings would be modest.

Growing dilute-nitride VCSELs involvesa series of trades-offs between achieving awavelength close to 1310nm, obtaining a suit-able bandwidth, producing sufficient outputpower, and fabricating a design that cancouple sufficient light into an optical fiber.

Abul-Ella says that the greatest of these chal-lenges is getting the right wavelength whilecoupling sufficient light into the fiber. Manyresearchers fall short of this target.

Infineon’s dilute nitrides have to operate attemperatures of up to 85 °C and comply withinternationally recognized standards for opti-cal carrier systems operating at data rates suchas 155 and 622 Mbit/s, and 2.5 Gbit/s. Abul-Ella explains that the firm achieves the best all-round performance for its devices by targetingan emission wavelength for its lasers of1300 nm, which falls within the specificationsfor the 1310 nm standards. Infineon has quali-fied its process for lasers operating at data ratesof up to 2.5 Gbit/s, and has also produced pro-totypes that can operate at 10 Gbit/s, althoughthese do not yet conform to the necessary speci-fications. Abul-Ella says that the company hasencouraging data on its 2.5 Gbit/s laser chip,including 5000 hours of laser qualification.

The same performance for lessAbul-Ella believes that the first applicationgenuinely demanding dilute-nitride VCSELtechnology could be 4 Gbit/s FibreChannel.He claims that this standard can be used inapplications requiring transmission throughup to 10 km of fiber, which rules out the useof Fabry–Pérot lasers. “The technology gen-erally used is DFB lasers from the likes ofSumitomo,” said Abul-Ella. He claims thatInfineon’s VCSELs are one-third to one-halfthe cost of the 4 Gbit/s DFB lasers that sell forat least $20–$30, while meeting the samespecifications.

Abul-Ella explains that efforts must now bedirected at chip packaging, which has a bigeffect on the coupling efficiency of the lightinto the fiber. However, since Infineon is exit-ing the fiber-optics business, the company hasceased to invest in the 1310 nm VCSELs thatit has spent 10 years developing.

Dilute-nitride lasers promise significant cost advantages over their indium phosphide counterparts,but despite this, their future now hangs in the balance following the recent sale of InfineonTechnologies’ optical-transceiver business to Finisar. Richard Stevenson investigates.

DILUTE-NITRIDE VCSELS

Uncertain future loomsfor dilute-nitride lasers

Despite the sale of its transceiver businessto Finisar earlier this year, Infineon hasretained its dilute-nitride technology. It issampling 1310nm dilute-nitride VCSELchips that it claims are ideal for 4Gbit/stransmission rates over distances of 10km.

INF

INE

ON

Page 26: VCSELS Uncertain future looms for dilute nitride lasers

The leading publication in compound semiconductors

Use Compound Semiconductor articles to reinforceyour sales message.

We can provide reprints of any Compound Semiconductor editorial pieces, features, analysis, news or products

FOR MORE INFORMATIONContact Rebecca GriffithsTel: +44 117 930 1032E-mail: [email protected]

Page 27: VCSELS Uncertain future looms for dilute nitride lasers

25COMPOUND SEMICONDUCTOR JULY 2005

COVER STORYcompoundsemiconductor.net

DILUTE-NITRIDE LASERS

One drawback of the technology is that theyields associated with dilute-nitride deviceproduction are not particularly high. However,this has never been a major focus for Infineon,because high-volume production is yet to hap-pen. Abul-Ella does point out, though, thatyields must remain reasonable (typically morethan 30%) to ensure a stable process, and ifvolumes increase then yields will improve.

Taiwanese competitionAnother company that has been developing1.3 µm dilute-nitride lasers is Taiwan-basedChungwa Telecom. At the Indium Phosphideand Related Materials conference in Glasgow,UK (see box), Nien-Tze Yeh, a researcher atChungwa’s advanced technical research lab-oratory, outlined Chungwa’s development oflasers emitting at 1.26 µm. He says that whenadditional nitrogen was added to the quantumwell to push emission to 1.3 µm, the crystalquality of the InGaAsN active layer deterio-rated and no laser emission was seen. Yehbelieves that laser emission could be possi-ble, however, by inserting either GaAsN or

InGaAs layers into the structure.According to Yeh, several difficulties exist

for dilute-nitride growth: nitrogen-incorpor-ation efficiency is low, crystal quality deteri-orates with nitrogen incorporation, and it ischallenging to grow good-quality InGaAsNlayers on top of the aluminum-containing lay-ers that are usually used for cladding regions.

As a result, Chungwa is to stop its dilute-nitride laser program and focus on InP-based1.3 µm emitters. The company is now devel-oping 1.3 µm, 10 Gbit/s DFB lasers, which itintends to release by the end of this year.

10 Gbit/s modulation from edge-emittingdilute-nitride lasers operating at 1.3 µm hasbeen demonstrated by researchers at France’sCentre National de la Recherche Scientifique,working in conjunction with the III-V labowned jointly by Alcatel and Thales. The teamused MBE growth to produce structures withthree Ga0.63In0.37N0.01As0.99 quantum wells,7nm thick, surrounded by 100nm-thick GaAsbarriers. The cladding regions contained n-and p-doped 1.5 µm-thick Al0.8Ga0.2As, aswell as thin layers next to the active region

that graded the aluminum content to zero.The processed lasers contained a 2µm-wide

ridge, typically emitted at 1335nm, and showeda threshold current density of 1.1kAcm–2 whenoperated at 20°C in pulsed mode. One of theseMBE-grown lasers, which emitted at 1346nmwas able to produce 2.5 Gbit/s transmissionrates along 2km of fiber at up to 85°C, and datatransfer reached 10 Gbit/s at 25 °C. Theresearchers have also produced single-quan-tum well devices with 1.5 µm-thick InGaPcladding regions by MOCVD that deliver2.5 Gbit/s transmission rates at up to 85 °C.

The French team’s results, along with thoseof Infineon, show that dilute nitrides can com-pete with their InP rivals. However, withInfineon no longer owning a transceiver unit,and Chungwa ditching the technology alto-gether, the near-term future of dilute-nitridelasers looks decidedly unpromising.

Further readingYeh et al. IPRM Con. Proc. 2005.Dagens et al. 2005 IEEE Photon. Technol.Lett. 17 p971.

Although Chungwa has nowdropped its dilute-nitridedevelopment program, itsresearchers achieved significantimprovements to the quality ofthe active InGaAsN layer.

Yeh and co-workers thoughtthat the InGaAsN layer wasbeing contaminated by theunderlying AlGaAs layers, andconfirmed this by comparing adilute-nitride active layerdeposited directly onto a GaAssubstrate with one grown on analuminum-containing layer; thephotoluminescent intensity (PLI)of the material grown on thealuminum layer was one-hundredth the strength of thatgrown on the GaAs substrate.The researchers believe that thecontamination is due toaluminum’s strong “memoryeffect” (aluminum remains in thegrowth cell for too long) and thestrength of the aluminum-nitrogen bond.

Two routes to growing dilute-nitride structures were explored

that avoid contaminating theactive layer with aluminum. Thevariations from the standardprocess (a), are removal of thewafer part way through thegrowth (b), and nitridepassivation to reduce aluminumcontamination after growth ofthe AlGaAs and GaAs layers (c).

The researchers found thatthe two-step process (b)shortened the emissionwavelength, but increased thePLI to a value above that of thecontrol sample, an InGaAsN

quantum well grown directlyonto a GaAs substrate.

The nitrogen-passivationapproach (c) offers a shortergrowth time, lower gas coststhrough reduced materialdeposition, and greatersimplicity, as the wafer remainsin the reactor throughout thegrowth period. The extent of thecontaminating aluminum andcarbon levels in the InGaAsNlayer were determined bysecondary-ion massspectroscopy, which allowed

the researchers to adjust theflow of dimethylhydrazine sothat the PLI from this structureequaled that of the control.

Standard photolithographyand wet-chemical etchingproduced 10 µm-wide ridgewaveguide lasers. At roomtemperature pulsed operation(2 µs pulses, 2% duty cycle), thenitride passivation and two-stepgrowth lasers produced 1262and 1234 nm emission,respectively, and externalquantum efficiencies of 58%.

Chungwa circumvents contamination of the dilute-nitride layer

(c) passivation approach

200 nm Zn-doped GaAs1.5 µm Zn-doped AlGaAs

150 nm GaAs6 nm InGaAsN QW

140 nm GaAswafer inserted into chamber1.5 µm deposition of GaAs

wafer removed from chamber10 nm GaAs

1.5 µm Si-doped AlGaAs300 nm Si-doped GaAs buffer

Si-doped GaAs substrate

(b) two-step process

200 nm Zn-doped GaAs1.5 µm Zn-doped AlGaAs

150 nm GaAs6 nm InGaAsN QW

100 nm GaAs

50 nm GaAs1.5 µm Si-doped AlGaAs

300 nm Si-doped GaAs bufferSi-doped GaAs substrate

Flow of AsH3+DMHy into reactor

200 nm Zn-doped GaAs1.5 µm Zn-doped AlGaAs

150 nm GaAs6 nm InGaAsN QW

150 µm GaAs1.5 µm Si-doped AlGaAs

300 nm Si-doped GaAs bufferSi-doped GaAs substrate

(a) standard structure

Page 28: VCSELS Uncertain future looms for dilute nitride lasers

Technology and applications of light emitting diodes

LEDs Magazine provides a unique resourcefor the LED industry, combining technicalarticles, case studies, interviews andconference reports with news, product and eventinformation and technical resources.

It is designed for individuals who are involved in the specification,design and manufacturing of LED-based products for a wide rangefor end-use applications.

Download your FREE copy from our website ledsmagazine.com

LaunchIssue nowavailable

With a cohesive and complete team of professionals, Bandwidth Semiconductor continues to growin today's marketplace. We operate a complete compound semiconductor growth & device fabricationline housed in a 13,500 sq. ft. class 100/1000 cleanroom.

BANDWIDTH SEMICONDUCTOR, LLC25 Sagamore Park Rd., Hudson, NH 03051

www.bandwidthsemi.com(603) 595-8900

Epitaxial and Foundry Inquiries: [email protected] M/F/D/V

Epitaxial Services MOCVD Experts – 20 Years ExperienceOur extensive MOCVD experience and capacity enable us to grow a wide range ofGaAs and InP epitaxial structures to our ownor to customer's designs.

• GaAs and InP-based materials• 2", 3", 4" Custom Epi-Wafer Capability• Excellent Uniformity & Reproducibility• Production & Development Quantities

Foundry ServicesWe design the process steps and conditions tomeet the desired device characteristics andimplement the process in our fab. Processequipment includes:

• PECVD • Metallization • Wet & Dry Etch• Photolithography • Dielectric & Optical Thin Film Deposition• Wafer Polishing, Lapping, Dicing & Cleaving

Page 29: VCSELS Uncertain future looms for dilute nitride lasers

HB-LEDS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 2005 27

Rising patent awards hint ata future increase in litigation

So far this year, LED manufacturersseem to be entering something of a“truce” period over their intellectual

property (IP) rights, with the number of cross-licensing deals and settlements outweighingnew litigation activity.

One example is Cree’s recent decision tolicense a key white-LED patent to three firmsin the Far East, which could mark a watershedin the evolution of solid-state lighting.

One of those three firms now able to sellwhite LEDs incorporating Cree’s InGaN-on-SiC dice is Cotco Holdings, which is based inHong Kong. Shortly after signing the deal,Cotco announced that it had already seen a bigincrease in white-LED sales and that itexpected Chinese manufacturers of productscontaining white LEDs to fuel a strongupswing in demand.

Cotco’s white LEDs will now be labeledwith the all-important US patent number(6,600,175) and the message “powered byCree”. This branding approach is highly rem-

iniscent of the “genuine Nichia LED” labelsadorning products featuring the Japanesecompany’s white emitters that were intro-duced exactly one year ago.

If Cotco’s predictions are accurate, therecould be a surge in business from China, withsome commentators suggesting that the wallof intellectual property that has previouslyseparated LED makers is now “crumbling”.

Calling a truceCree and Nichia seem to have set the tonewhen they announced early this year that thetwo companies had signed an extended cross-license agreement specifically covering whiteLEDs. In the past few months, Nichia hasresolved litigation with US retailer SharperImage, Korea’s Luxpia, and, most recently,US companies JM Group and ASP Inc.

But at the recent Blue 2005 conference inHsinchu, Taiwan, patent-law specialist PatriciaMartone suggested that while one part of theIP wall relating to LEDs may be crumbling,

more lawsuits are inevitable as the solid-statelighting industry grows in size, and litigationbecomes a more attractive business tool.

Martone, who is a partner in the Fish &Neave IP group of Boston law firm Ropes &Gray, says that the LED industry’s patent strat-egy looks very similar to that of the widersemiconductor world. As a result, it is perhapsinstructive to look at the developments thattook place in the silicon industry when itunderwent major expansion.

While initially there was a “patent peace”,with cross-licensing agreements to ensure thatearly silicon-device manufacturing could getoff the ground without concerns over IP vio-lations, this came to a halt in the 1980s whenchip sales revenues became large enough tomake expensive lawsuits look like an attrac-tive business ploy.

“Beginning in the mid-1980s, Texas Instru-ments (TI) began an aggressive licensing pro-gram to generate needed revenue,” saidMartone. “Prior to that, semiconductor firms

With Nichia settling a number of recent disputes concerning unauthorized suppliers and Cree issuinglicenses under its own white-LED patents, it might appear that the nitride LED intellectual property“wall” is indeed crumbling. But not everybody agrees, as Michael Hatcher discovers.

80

50

40

30

20

10

0

1999 2000 2001 2002 2003year

incr

ease

in p

aten

ts a

war

ded

rela

tive

to 1

999

(%)

70

60

active solid-statedevices (Class 257)

semiconductor devicemanufacturing:process (Class 438)

all technology classes

US patents awardedto US companies

60

50

40

30

20

10

0

1999 2000 2001 2002 2003year

incr

ease

in p

aten

ts a

war

ded

rela

tive

to 1

999

(%) active solid-state

devices (Class 257)

semiconductor devicemanufacturing:process (Class 438)

all technology classes

US patents awardedto Japanese companies

Fig. 1. In the past five years, growth in the number of US patents awarded in classes “257” and “438” have far outstripped that intechnology classes overall. According to patent-law specialist Patricia Martone, this means that HB-LED manufacturers should bracethemselves for more litigation in years to come as the field expands in a repeat of the tactics already employed in the silicon industry.

Page 30: VCSELS Uncertain future looms for dilute nitride lasers

HB-LEDS compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 200528

regularly entered into royalty-free licenses.”TI has since made billions of dollars from

this policy, which has reportedly netted thefirm $1 billion over 10 years with Hyundaialone. Similarly, IBM initiated its own licens-ing program in the early 1990s and increasedits annual royalty revenue from just $50 mil-lion in 1988 to around $2 billion by 2002.

Martone has noted a rapid recent increasein the number of US patents filed into theClass 257 and Class 438 patent sectors thatrespectively cover LED technology and themanufacturing processes used to make thedevices (figure 1). Much of this activity hastaken place outside the US, with Japanesefirms being issued with almost as many Class257 US patents as their domestic colleaguesover the past five years. Next down the list isTaiwan, while Martone expects awards toChinese companies to surge in the future.

Litigation on the riseWhile this shows that innovation is strong inthe LED industry, it also indicates that liti-gation is likely to become an even more effec-tive business tool in the future. And despitethe recent cross-licenses and settlements,German firm Osram is enforcing its own IPagainst Japan-based Citizen Electronics.Citizen makes white LEDs under license fromNichia, and although Nichia and Osram havesigned a cross-licensing agreement, it doesnot give third parties such as Citizen freeaccess to Osram’s technology.

Martone warns manufacturers that litigationwill increase in the future, suggesting that firmsnot currently regarded as a threat, perhaps noteven device manufacturers, will emerge toissue legal proceedings. “Companies not onyour competitive radar screen may sue you togenerate licensing revenue,” she said.

While various elements of the LED indus-try face the prospect of more lawsuits, it lookslike packaging and phosphors are the primarytarget of 2005 litigation, with less of a focuson chip-manufacturing technology.

Adams Harkness analyst Jed Dorsheimer,also speaking at Blue 2005, made his own pre-dictions for 2005 and beyond. He expects to seemore strategic relationships evolve, as a wayto marry the high cost of technological inno-vation with low-cost volume manufacturing.

Dorsheimer and Martone both say that thecurrent difficulties over patent enforcement inChina will be reformed in years to come, aspolitical pressure is applied from other coun-tries and local judges gain experience in IPlaw.

Hans Mosemann from US investment com-

pany Moors & Cabot also made some pre-dictions at the Taiwan event. Mosemann, whois a senior analyst and has covered key com-pounds firms including Cree, Anadigics andSkyworks during his time on Wall Street, saysthat there will be “significant” consolidationin the Asian LED industry as chip packagersand the “big five” global LED firms agreepartnerships, set up joint ventures and estab-lish vertical business models.

“The IP wall is no longer a wall,” Mose-mann told delegates in Hsinchu. “One yearago, this wall began to crumble as the top five[HB-LED manufacturers] started to licensewhite-enabling technology.” The analystbelieves that Asian players now have all of thenecessary elements not only to make and selldevices, but also to drive innovation in theglobal HB-LED market.

According to Mosemann, Wall Street doesnot consider the compound semiconductorfield to be a particularly desirable one cur-rently, and many institutional investors withlarge portfolios of blue-chip firms tend toregard the HB-LED sector in the same light,despite some very different market drivers.Some investors even compare the HB-LEDindustry to highly commoditized silicon mar-kets such as that for dynamic random accessmemory (DRAM) chips.

Mosemann takes another view: “HB-LEDsare not like standard DRAMs. In DRAM,whoever has the biggest fab wins.” In contrast,

HB-LED manufacturers serve diverse mar-kets and chip design is a major differentiatorfrom supplier to supplier.

And despite the inevitable slowing growthrate of the HB-LED market, the sector willstill expand twice as quickly as the overallsemiconductor field, he says. As a result,Mosemann predicts that institutional investorsin the US will increase their interest in theHB-LED sector in the coming years as thebusiness matures.

Fertile groundMosemann says that while the days of the cell-phone handset as the major GaN LED marketdriver are beginning to end and therefore thedays of 50% annual growth rates will soon beover, the HB-LED sector remains an attrac-tive one for the investor community.

Although Mosemann, whose company cur-rently has a “sell” rating on Cree stock (mean-ing that it expects the company’s share priceto underperform the market in the next12–18 months), is expecting something of alull in LED demand this year, he believes thatinvestors will be keen to cash in on the growthof applications such as the backlighting oflarge LCD screens. In addition, the blue laserfield – which shares much of the same manu-facturing requirements as GaN LEDs, and inwhich Nichia, Cree and Osram are also likelyto dominate – is seen as a fertile area forfuture investment.

US 1093 1232 1388 1724 5437Japan 1052 1103 1366 1575 5096Taiwan 190 225 282 303 1000South Korea 140 147 206 244 737Germany 77 91 111 149 428China 0 1 0 5 6

Source: US Patent and Trademark Office

Country Year2000 2001 2002 2003 total

Table 1. Issued US patents (Class 257: active solid-state devices)

US 2000 2451 2510 2317 9278Japan 887 1118 1240 1225 4470Taiwan 945 1170 753 606 3374South Korea 349 454 483 456 1742Germany 84 105 112 140 441China 1 0 1 2 4

Source: US Patent and Trademark Office

Country Year2000 2001 2002 2003 total

Table 2. Issued US patents (Class 438: semiconductor device manufacturing processes)

Page 31: VCSELS Uncertain future looms for dilute nitride lasers

The relative immaturity of native gal-lium nitride (GaN) substrates currentlylimits high-quality GaN epitaxy for

LEDs. One alternative material that ought toprovide a better substrate solution is zincoxide (ZnO). There are two reasons for this:ZnO offers better lattice-matching betweenthe epilayer and substrate; and the materialmakes new device configurations feasible.

Better matching arises because ZnO sharesthe same hexagonal crystal structure and“P63mc” crystallographic space group asGaN and indium gallium nitride (InGaN). Asa result, the lattice mismatch between ZnOand pure GaN is only 2.2%, while there is aperfect lattice match between ZnO and InGaNwith an 18% indium content.

Furthermore, ZnO is conductive. Thismeans that a bottom-contact device can beeasily fabricated from the material – some-thing that is not an option for the current gen-eration of sapphire-based LEDs. While ZnOpresents these obvious advantages, severaltechnological hurdles remain to be overcomebefore the material gains acceptance as a use-ful substrate.

First and foremost is the fact that atomichydrogen and dissociated ammonia bothattack ZnO. Since these species are present inlarge concentrations during standardMOCVD growth of GaN and InGaN, alter-native methods or gases must be used.

Under a project valued at $4.8 million thathas been funded to the tune of $3.8 million bythe US Department of Energy, Cermet andGeorgia Tech’s Ian Ferguson and Alan Doo-little are working on an LED technology thatexploits the fluorescence of doped ZnO. Thepotentially disruptive result would be phos-phor-free solid-state light sources.

The aim of the project is to integrate large-area ZnO fluorescent substrates with state-of-the-art, lattice-matched nitride epitaxy toaddress the various technological limitationsof current approaches to solid-state lighting.

Using established nitride-deposition tech-nology, white light could be produced by self-luminescence in the doped ZnO substrate.Blue emission from the GaN material wouldexcite the fluorescence in doped ZnO, and theemission spectra of the fluorescence and theoriginal blue emission could then be con-trolled to produce the desired white output.

Technical challengesSeveral technological steps were identified ascritical milestones for this approach to solid-state lighting to be successful. The first wasthe development of high-quality, doped ZnOto act as a nitride-matching substrate and as aluminescent light source. This required theproduction of commercial grade, doped ZnOsubstrates that combined excellent crystalquality with optical transparency in the visi-ble spectrum.

The second key challenge was to depositlow-defect-density nitride structures on thedoped ZnO substrate. If successful, this wouldyield lattice-matched nitride layers grown onZnO substrates with excellent crystal and opti-cal quality.

To date, the project has yielded impressiveresults regarding both of these developments.In meeting the first challenge, Cermet has pro-

duced large-diameter doped ZnO (figure 1)that generates light when stimulated thanksto the kinds of dopants that are incorporatedinto the crystal.

In tackling the second material challenge,the team demonstrated defect densities ofaround 1×104 cm–2 for lattice-matched InGaNon ZnO. This is an important milestone as itshows that the low defect density inherent inthe bulk substrate can be replicated in theactive nitride layers. This should improveLED performance by reducing non-radiativedecay mechanisms in the active layers.

As well as the projected energy savings thatall solid-state light sources offer, this self-fluorescence approach has the potential to fur-ther drive down the cost of white LEDs. Usinga self-luminescent substrate should allow pro-duction of a white-light emitter without theneed for a traditional phosphor.

Such a device would eliminate much of thecost, complexity and decreased yield associ-ated with the traditional phosphor approach.LED efficiency would be enhanced by reduc-ing the defect density in the emitters, and byincreased blue–white light conversion effi-ciency through enhanced luminescencedirectly from the substrate. Costs would bedriven down through cheaper bulk substrate

ZINC OXIDE compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 2005 29

Fluorescent substrate offersroute to phosphor-free LEDsUsing a phosphor to convert blue emission from an LED chip into white light fundamentally limits theefficiency of solid-state lighting. Now, a research team in the US is looking to remove the need forphosphors by using fluorescent, doped-zinc-oxide substrates instead, as Jeff Nause explains.

Fig. 1. Cermet has produced these ZnO substrates with a range of dopants to induce self-fluorescence. Eventually, this could lead to the fabrication of high-efficiency, phosphorlesswhite LEDs. With a defect density of only 1×104 cm–2, the lattice-matched InGaN that hasbeen grown on this ZnO should result in high-performance nitride devices.

CE

RM

ET

Page 32: VCSELS Uncertain future looms for dilute nitride lasers

ZINC OXIDE compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 200530

growth and the elimination of phosphors.Lastly, economies of scale can be met by usinglarge-area melt growth technology to fabri-cate ZnO substrates, and existing volume GaNepitaxy solutions.

Integration challengeHaving met the initial technological chal-lenges, the remaining problem is to integratethese two achievements and fabricate a white-light LED. These emitters demand high car-rier concentrations in both n- and p-type layersin the diode, as well as quantum-well com-patibility and wavelength-tunable emission.

The precise nature of the light emitted bywhite LEDs is an important issue, and is oftendefined by the color temperature. By employ-ing this self-fluorescence approach, there aretwo ways to control color temperature. Sincethe white light emitted is actually a combina-tion of the blue “pump” emission from the

GaN material and the substrate’s fluorescence,there are two control mechanisms available.

The pump wavelength can be tuned at thechip level by growing structures emitting atlonger wavelengths suitable for opticallypumping bulk ZnO with a fixed dopant con-tent. Alternatively, the dopant content in thebulk ZnO can be tuned to fit a fixed pumpwavelength. By tweaking both of these vari-ables it is possible to cover a broad range of“white” color content.

To date, the greatest technical challengein this LED development has been to prevent

hydrogen reacting with the ZnO substrate. Thealternative approach has been to use nitrogenas the carrier gas instead, to employ lowergrowth temperatures and to shield the sub-strate. An additional option is to develop alter-native sources of nitrogen. Compounds witha significantly lower dissociation temperaturethan ammonia would allow lower growth tem-peratures to be employed.

At the conclusion of this project in October2006, Cermet plans to market the developedtechnology to the semiconductor lightingindustry. The product offerings are set toinclude lattice-matched device layers integ-rated onto Cermet’s doped ZnO substrates. Itis Cermet’s intent to develop revolutionaryLED sources for solid-state lighting that willbecome an industry standard.

Jeff Nause is president of Cermet Inc. E-mail:[email protected].

ZnO offers better lattice-matching between theepilayer and substrate;and makes new deviceconfigurations feasible.

Cermet and Georgia Tech’sapproach to solid-state lightingis just one of several projectsthat have received generousfunding from the USDepartment of Energy (DoE).The DoE’s Energy Efficiency andRenewable Energy projectportfolio for the development ofsolid-state lighting, published inJanuary this year, details a hostof programs that are primarilyfocused on novel chipmanufacturing and phosphors.

The Piscataway, NJ, firmStructured Materials has beenworking on ZnO deposition on avariety of substrate materials forseveral years now, and islooking at ways to make blue ornear-ultraviolet LEDs based onZnO p-n junctions. While n-typeZnO material is relatively easy tomake, this is not true for p-typeZnO and this is one focus ofcurrent research at the firm.

Gary Tompa, formerly atEmcore and the president ofStructured Materials, says thathis team has successfullydeposited the material on 2 inchZnO substrates. Theadvantages of ZnO over GaN

include the use of relativelybenign process gases that areeasier to handle and dispose ofthan the highly toxic ammoniathat is generally used for nitridegrowth. In addition, growth cantake place at a much lowertemperature.

Structured Materials has beenfocusing on oxide growth for thepast five years or so, and hasrecently sold MOCVD tools forZnO film growth to commercialcustomers for use inapplications involving

superconductors andferroelectrics.

ZnO-based blue LEDdevelopment is still in its infancy,although blue-light output hasbeen recorded by a group atTohoku University in Japan.

Using their specializedMOCVD technology, Tompa andcolleagues have alsodemonstrated ZnO nanowiregrowth. Nanowire structuresmay be of use in future chemicalsensors, transparent transistorsand possibly LEDs.

In other ZnO-related DoEefforts, a University of Florida

team led by David Norton isdeveloping devices featuringZnO-based junctions. Under a$1.1 million project, Norton andcolleagues are working on keyissues such as achieving highp-type carrier concentrations inepitaxial ZnO alloyed withmagnesium. They are alsohoping to make homojunctionsand heterojunctions with band-edge emission.

Meanwhile, the company ZNTechnology (ZNT) in Californiahas also been working todevelop ZnO-based LEDs undera Phase 1 small-businessinnovation research effort.Principal investigator GeneCantwell at ZNT has patented amethod for the p-type doping ofZnO. Using ZNT’s own ZnOsubstrates, Cantwell isdeveloping LEDs incorporatinga cadmium zinc oxide quantumwell and magnesium zinc oxidebarrier layers.

In this device configuration, aphosphor is required togenerate white light. Cantwellalso has plans to make ZnO-based lasers emitting in the blueand near-ultraviolet region.

ZnO initiatives and US Department of Energy projects

Devices containing nanowirescan be fabricated by growingZnO films on a ZnO substrateusing diethyl zinc and oxygenas source materials. Suchdevices could find applicationsin chemical sensing, LEDs andtransparent transistors.

SM

I

Structured Materials is sellingan MOCVD system, which isspecially developed for ZnOdeposition, to commercial andresearch customers.

SM

I

Page 33: VCSELS Uncertain future looms for dilute nitride lasers

COMPOUND SEMICONDUCTOR JULY 2005 31

M&E NEWS

Swiss process equipment supplierUnaxis has appointed ThomasLimberger as its new CEO, at therequest of the company’s majorshareholder, Austrian investmentfirm Victory Industriebeteiligung.

Limberger, who is a member ofthe Unaxis board, will start hisnew role on August 1. He leavesGeneral Electric Germany, Austriaand Switzerland, where he hasserved as CEO since 2002.

Limberger replaces HaraldEggers, who has acted as Unaxis’interim CEO since January 2005.

As well as the CEO switch, theother members of the Unaxis

board resigned from office duringan extraordinary general meeting(EGM) of shareholders on June 2.

No official reason has beengiven for the resignations, accord-ing to Unaxis spokespersonNicolas Weidmann. However, the move is expected to giveLimberger an opportunity totransform the company.

Victory’s request for MirkoKovats, Robol Günther, ChristianSchmidt and Georg Stumpf to beelected to the board was alsogranted at the EGM. Kovats, whois now chairman of the board, isalso the co-owner of Victory.

PRODUCT SHOWCASETo advertise your product in this section, contact Dan Huckleon tel: +44 117 930 1033, or e-mail: [email protected] firm shakes

up Unaxis management

Polishing and planarization spe-cialist Engis Corporation hasopened a new laboratory in theUK that is focused on improvingmanufacturing processes.

The facility, located in Henley-on-Thames, is described as aresource for developers looking

for technical support in polishingand thinning advanced materialslike GaN and SiC.

“Materials such as GaN andSiC pose specific challenges todevelopers,” said Engis mana-ging director John Wellings. “Weunderstand those challenges.”

Engis opens polishing lab

UK-based semiconductorequipment supplier SurfaceTechnology Systems (STS)has opened a sales office inSingapore. The office will beheaded by Ian Wright, directorof Asia business development,and will provide local sales and service support to thecompany’s customers in Asiaand Australasia. Taiwanese HB-LEDmanufacturer South Epitaxyhas installed an X-raydiffraction instrument toinvestigate quantum wellstructures. The Vektor tool,which was supplied by AccentOptical Technologies, isdesigned specifically for GaNdevice metrology.

Aixtron has delivered anAixtron 200/200 RF system tothe Center for Micro- andNanotechnologies at theTechnical University ofIlmenau, Germany. The reactorwill be used for growth ofheterostructures and nano-structures based on SiC, metaloxides and group-III-nitrides.

Aixtron has also establisheda European wing that will beresponsible for the sales,service and spare partsbusiness for Thomas Swan,Epigress and Genus. Headingup the new division will beFrank Schulte, who has been at the Aachen-based companyfor 15 years and who set upAixtron’s Japanese subsidiary.

In brief

New lapping and polishing machineEngis CorporationThe Microtech AM-15 is the newest machine from Engis capable ofperforming repeatable precision surface preparation of compoundsemiconductor materials. Equipped with a 15'' platen and power pressureplate system, its rigid and compact design makes it ideally suited for R&Dand pilot production. Combined with Engis CMP-D diamond slurries andEngis application experience, you have one source that can develop andsupport cost-effective processes for the most demanding applications.

Contact: Engis Corporation,105 W Hintz Rd, Wheeling,IL 60090, USATel: +1 847 808 9400Fax: +1 847 808 9430 E-mail: [email protected]: www.engismicrotech.com

GaNcat for safe abatementBOC EdwardsGaNcat builds on the experience of single-cartridge systems by offeringincreased flow capacity. GaNcat’s modular design means that any currentor future flow requirements can be accommodated and an integralmonitoring system automatically warns when cartridge replacement isrequired. BOC Edwards also offers bulk and specialty gases, a wide rangeof abatement and chemical dispense systems, vacuum equipment, and a

portfolio of services for thecompound semiconductor industry.

Contact: BOC EdwardsTel: +1 978 658 5410 or800 848 9800 (USA)E-mail: [email protected]: www.bocedwards.com

CMP systemLogitech LtdThe CDP system from Logitech Ltd (Semicon West, North Hall, booth5853) is ideal for global planarization of III-V wafers and delayeringICs/MEMS devices for fault analysis and reverse engineering.The fullyautomated control panel allows process parameters to be stored for futureuse, helping ensure end-result repeatability. This, coupled with a low costof ownership, makes the CDP particularly useful for testing new CMPslurries, pads and templates for off-line analysis and for off-line trials ofnew CMP processes without stopping production runs.

Contact: Logitech Ltd, ErskineFerry Road, Old Kilpatrick,Glasgow G60 5EU, Scotland, UKTel: +44 1389 875444Fax: +44 1389 890956E-mail: [email protected]: www.logitech.uk.com

Page 34: VCSELS Uncertain future looms for dilute nitride lasers

RESEARCH REVIEW compoundsemiconductor.net

COMPOUND SEMICONDUCTOR JULY 200532

Researchers from Kyoto University, Japan, have succeeded in fabri-cating two-dimensional (2D) photonic crystals that could have a dra-matic effect on LED brightness. The photonic-crystal structure reducedin-plane spontaneous light emission in a GaInAsP slab to one-fifththat of the normal intensity (Science 308 1296). Emission is redis-tributed from the 2D plane to the direction normal to the photonic crys-tal, thereby increasing the intensity of useful light output.

According to team member Susumu Noda, employing these 2Dphotonic crystals could boost the extraction efficiency of light fromoptoelectronic devices such as LEDs. Theoretical studies by theresearchers indicate that 100% light-extraction efficiency is possible,because no emission is allowed in the 2D plane.

Noda says that this approach could also redistribute spontaneousemission into the cavity mode of an LED or laser by introducing arti-ficial point defects into the 2D photonic crystal. This could lead toultrahigh-efficiency laser diodes, because cavity dimensions thatare comparable to the emission wavelength can be designed.

The Kyoto team used a combination of electron-beam lithography,and plasma and chemical etching to define triangular periodic arraysof circular holes in a 245 nm-thick GaInAsP slab. The series of pho-

tonic structures had a lattice constant, a, varying between 300 and500 nm in 10 nm intervals, and holes with a radius of 0.29a.

Using a Ti-Al2O3 laser to generate photoluminescence from a 5 nm-thick quantum well sandwiched in the GaInAsP slab, Noda and col-leagues recorded the emission with a multichannel GaInAs detector.When the emission wavelength was within the photonic bandgap(a = 390 to 480 nm) the overall emission rate fell by 80%, but the emis-sion efficiency propagating out of the plane of the slab increased. Whenquantum well emission lay outside the photonic bandgap, the opti-cal properties of the photonic structures were similar to those fromunmodified GaInAsP slabs.

Noda thinks that it would be reasonably straightforward to imple-ment these photonic structures into commercial LEDs. However, hebelieves that efforts should begin with surface treatments of air holesto reduce non-radiative relaxation. The design of the photonic crys-tals in the vertical direction should also be optimized.

Although electron-beam lithography, which was used to producethe photonic structures, may be too time-consuming for volume manu-facturing, Noda believes that the LED industry could use a nanoim-printing technique that he has developed to make wide-area structures.

Circular holes lead to brighter LEDs

Ateam from the National Institute of Advanced Industrial Science andTechnology, Tsukubra, Japan, has reduced the dislocation density ofGaN layers grown on 6H-SiC substrates to around 107 cm–2 by insert-ing a layer of GaN nanoislands (Appl. Phys. Lett. 86 191908).

The 100-fold improvement in typical GaN-layer quality grown ona SiC substrate could enhance optoelectronic device performance, andencourage manufacturers to move away from sapphire substrates thathave inferior thermal conductivity.

The researchers grew three different structures on 6H-SiC(0001)substrates by MBE, using a radio-frequency-activated nitrogen source.The first contained a layer of Stranski–Krastanov nanoislands witha defect density of 4 × 1011 cm–2 and was capped with a 1.5 µm GaNlayer, while of the two other structures one had an AlN buffer layerand the other had no buffer at all. All of the substrates were preparedby chemical etching, then gallium deposition followed by annealingto form gallium-terminated flat surfaces.

Dislocation densities were assessed by chemical etching with hotphosphoric acid, followed by atomic force microscopy. Phosphoric

acid strongly attacks defect sites on the GaN surface, producing etchpits that reveal the dislocations.

The nanoisland structure was relatively resistant to etching, and theteam estimated the defect density at 107 cm–2. The other samples haddefect densities of approximately 109 cm–2, leading the team to con-clude that the underlying nanoislands can effectively counteract dis-location propagation.

Team member Jeganathan Kulandaivel says that although this studywas restricted to 10 × 10 mm SiC substrates, the process would be suit-able for high-volume manufacturing as long as constant fluxes of gal-lium and nitrogen can be delivered across larger-area substrates.

Work has been restricted to undoped substrates so far, butKulandaivel believes that the process could be extended to conduct-ing substrates featuring dopants that do not alter the compressive strainof the GaN/SiC system. Some dopant atoms can act as surfactants forGaN nuclei and prevent the two-dimensional growth required for nano-island formation. Kulandaivel and colleagues now intend to fabricateAlGaN/GaN HEMTs featuring nanoisland layers.

Nanoislands reduce GaN epilayer defect densities

With an underlying layer of GaN islands, the dislocation density of GaN-on-SiC epilayers is one-hundredth that of conventionally grown GaN-on-SiC. The improvement in epilayer quality can be seen in the AFM images above. Left to right: a 1.5 µm-thick layer of GaN grown on top of GaNnanoislands; (b) a 1.5 µm-thick layer of GaN grown over an AlN buffer; (c) a 1.5 µm-thick layer of GaN deposited directly onto a SiC substrate.

5µm 5µm 5µm