UCLA Nanoelectronics Research Facility (NRF), Ta, NiFe, Cu, Au-Ge and Zn • Thicknesses 5 nm to 1...

26
UCLA Nanoelectronics Research Facility (NRF) www.nanolab.ucla.edu Prof Rob Candler, Director Steve Franz, NRF Manager [email protected] Tel: 310-206-8923

Transcript of UCLA Nanoelectronics Research Facility (NRF), Ta, NiFe, Cu, Au-Ge and Zn • Thicknesses 5 nm to 1...

UCLA Nanoelectronics Research Facility (NRF)

www.nanolab.ucla.eduProf Rob Candler, Director

Steve Franz, NRF [email protected]

Tel: 310-206-8923

UCLA NRF CHARTER• Establish an interdisciplinary Center of Excellence for nanoscale fabrication among UCLA researchers, other universities and industry

• Graduate UCLA students with relevant fabrication experience useful to industry and further advanced research

• Share a common-use facility to reduce research costs and foster intergroup cooperation

• Provide a professionally-managed facility which keeps current with process trends and equipment advances

• Support UCLA’s education and community service mission

NRF MEMBER PROFILE• ~ 75 Faculty Users

• 9 Departments including Bioengineering, Physics Chemistry, EE, MAE, Material Science etc.

• >250 Student users (primarily graduate researchers)

• Users from >20 Universities such as Cal Tech, UC Riverside, UC Irvine and USC

• >45 industry users

• NRF supports 2 undergraduate fabrication courses (MEMs and MOS processing classes)

• Undergraduates from its “NanoScholars” program

The NRF is a recharge center within HSSEAS under the auspices of the UCLAElectrical Engineering Department.

NRF OPERATIONS

• Lab online for over 20 years• 7 Full Time Professional Engineers with over 100 years experience and a full-time business analyst• Hourly Lab Use Fee to cover general operating cost (see Lab Manager for rate sheet)• Additional hourly charge for use of more costly equipment eg vacuum deposition equipment, SEM, furnaces, ICP etchers etc.• Online written procedures, calendar, reservation system, training lists, email, lab access etc. managed via custom software (LabRunner)• Superusers and staff training system with 2 step certification for complex equipment and training coordinator• Lab available 7 days/week, 16 hours/day• CNID-funded ($3M) equipment upgrades completed in ‘07• Lab supports CNSI, FENA, DARPA NSF, WIN among others

NRF STATISTICS• Annual revenue (2012): $1.8 M

• Equipment assets: $ 10 M

• Capital Expenditures/ Lab upgrades (2011-12) $ 931K

• External income (2012): 39% of total

• Lab Usage (2012): 1990 hrs./mo. 145 users/mo.

• Student helpers supported: 10

FY 95 FY 96 FY 97 FY 98 FY 99 FY 00 FY 01 FY 02 FY 03 FY 04 FY 05 FY 06 FY 07 FY 08 FY 09 FY 10 FY 11 FY 12

# U

sers

/mon

th

Hrs

/mon

th#Users/MoHrs/mo

• ~8000 sq. ft. class 1000 + 500 sq. ft. class 100 vertical flow clean room • Vibration-isolated floor, independently suspended from building• Yellow room lithography area for processing photosensitive materials• High purity de-ionized water system (18MΩ-cm) on tap at each hood• 7 facilitized hoods for safe wet chemical processing• High purity (5 9 s) bulk nitrogen gas delivery system

• In-situ real time toxic gas monitoring system with remote toxic gas bunker and state-of-the-art safety features

NRF FACILITY

UCLA Nanoelectronics Research Facility

SEM

SEMSPUTTER

InspectionP

Bond

er

SussAligners

Nano

spec

S

Inspect

Wet

Etc

h

BakeP PA

RYLE

NECO

ATER

FHW

Deve

lop

Spin

Coat

Chem

ChemChem

Bake

P

FlexusProber

ResMap4 pt probe

MEASUREMENTGOWNING ROOM

P

Storage

Stor

age Storage

CHAEvap

METAL

DEP CHA

Evap

FESM

ETAL

DEP

CVC

SPU

TTER

XeF2ETCH

HF ACID H

OO

D

ESF

STAGING AREA &CHEMICAL STORAGE

Plating Hood

CP Dryer

STS PECVDUnaxis 790PECVD

Unax

is SL

R 77

0DR

IE

LAB OFFICE14-131

III-V RTADektaks

WYCO

SOPRAELLIPS

STS

AOE

Etch

er

PM5Lapper

Filmtek 2000

III-V

/MET

ALDr

y Et

ch

Si RTAR/D

PrefurnaceClean

Chem

HW

Mat

rixSt

rippe

r

Poro

us S

iEt

ch

Hi T

emp

Ox/

Annl Oxi

datio

n LPCVDO

xidation

BurnBox

Servers

FURNACE CHASE

UNDERGRADUATETEACHING LAB

UNDERGRADUATETEACHING LAB

UNDERGRADUATETEACHING LAB

S

HYBRIDLAB

HYBRIDLAB

Wet Si Etch

Chem

Stag

ing

Chem

OxfordRIE

TechnicsRIE

Sloan EbeamEvaporator

P

BadgeEntrance

ES ES

NIL 6

Lab Main Entrance18-132

Logitech CDPCM

P

WET

PROCESS

FURNACEPROCESSING

LITHOGRAPHY

EST 1992

LAB VITALS

8000 sq ft Class 1000 & 500 sq ft Class 100 clean space18 Megohm DI water99.999% pure gaseous nitrogen7 fully facilitized fume hoods5 ICP deep etchers Si, III-V, metals and oxides3 Electron Beam Deposition and 2 sputtering systems~2000 sq ft dedicated yellow room2 4 stack LPCVD/Oxide furnaces with doping3 PECVD systems for oxide, nitride & silicon deposition1 ICP PECVD system for low temperature oxide & nitride Pieces to 4 inch wafer processing with 6 inch capability2 Suss MA6 top and bottom aligners & 1 Obducat ImprinterHitachi S4700 Field Emission SEM with EDAX attachmentFEI Nova 600 Dual Beam FIB (located in Rm 1129, EV Bldg)

SUPPORTS:>250 grad students~ 75 faculty9 Departments>40 companies

OTHER FACTS:Annual Budget~ $2M$10M equipmentMain funding:

• Recharge

HSSEAS recharge center is open to UCLA faculty, other University faculty and industry and is under the auspices of the Electrical Engineering Dept

Lab Director: Prof Rob CandlerLab Manager: Steve FranzEngineers: Noah Bodzin, Huynh Do, Max Ho,

Tom Lee, Wilson Lin, Hoc Ngo,Joe Zendejas

Admin Analyst Jamie KahngIT & Programming Mohammad Shahangian

www.nanolab.ucla.edu

MASKWRITER

TEGAL

DENTON

SPUTTER VEEC

O A

FM

Fast Si DRIE

ELEVATORS

NANOSPEC

ALD

FridgeFridge UlvacEtcher

ICPDeposition

ES

FE

Chem

R/D

FE 4 Pt Probe

LT

LT

LT

LEGEND

Emergency Exit

Emergency Evacuation ButtonChemical StorageEmergency ShowerFirst AidHazardous WastePhoneSpill KitFire Extinguisher

ChemESFHWPSFE

Lab Log-in Terminal

REV 01/10/11

LT

PP LT

FE

LT

Chem Chem Chem Chem Chem

Chem

Chem Chem

NRF IMAGING AT THE NANO-SCALE

Features:• Field Emission SEM.• KEV from 0.5 up to 30 KEV.• EDX elemental analysis. --------->• 1 nm spec resolution.• Load-locked for quick pump down• Low current mode for reduced sample charging

Hitachi S4700 SEM with EDX probe

Metal stack EDX Spectrum

A state-of-the-artscanning electron microscope,

focused ion beamand nanofabrication tool.

• 1.1 nm SEM Resolution• 10 nm FIB Resolution• Pt., W, and C Deposition• 5-axis Stage with 150 mm Travel• Wafer Handling Up To 6”• TEM Sample Preparation• Cross-Sectional Imaging• Nanoscale Patterning• Basic Circuit Edits• Material Deposition• High Resolution SEM Imaging

Located in Rm 1129, EV

Nova 600SEM / FIB

NRF THERMAL PROCESSING CAPABILITIES

• 2 Modular Process Rapid Thermal Annealers with pyro or TC control –––>• Temperatures to 1200°C• Ramp rates to 200 °C/sec

• 2- 4 tube furnace banks:• Dry & wet (steam) oxidation • Phos. doped, undoped & low stress polysilicon, • Standard & low stress silicon nitride • Low Temp Oxide (Phos. doped & undoped)• Sintering/Annealing with forming gas or N2

• High temperature oxidation/anneal to 1300 °C

NRF METAL EVAPORATION CAPABILITIES

• Evaporated films include:• Ti, Al, Au, Pt., Ni, Cr, Pd,

Al2O3, SiO2, Ta, NiFe, Cu, Au-Ge and Zn

• Thicknesses 5 nm to 1 μm

• 2 CHA Mark 40, e-beam evaporators • Cryo-pumped, with 22, 4” wafer capacity and rotating lift-off

dome, substrate heat and 6 crucible pockets• Thermal deposition, and carousel planetary capability • Inficon rate control with Xtal thickness monitor. • Multiple films of up to 6 different materials can be deposited

sequentially in a single pump down

• Sloan 1800-electron-beam evaporator with • Substrate heat, and cryo-pumped chamber• Closed loop deposition control• 4 crucible pockets

NRF METAL SPUTTER CAPABILITIES• Denton Discovery 4 target sputter system

• 2 RF and 2 DC targets (dielectrics as well as metals)• 3 inch quick-change targets for economy and flexibility• High temperature heater for in-situ anneal• Reactive sputter capability for metal oxide or nitride formation

and stoichiometry control• Co-sputter capability for unique alloy formation• Substrate table capable of multiple size and shapes

• CVC 601 3 target sputter deposition with RF etch capability

• High throughput, 10, 4 inch wafers/run

CVC 601 Sputter Deposition SystemDenton Discovery Sputterer

Denton Films Include:ITO, SiO2, Al, Cu, Cr, Ti, Ni, Nb, SnO2, W, RuAl2O3, In2O3, Hf, TiO2,Zr, ZrO2

NRF DRY ETCH CAPABILITIES

• Si test structures etched with DSE2

• 3 ICP Silicon/Metal / III-V Deep Etchers: • PlasmaTherm DSE2 fast silicon etcher

• Si Etch rates to >8 μm/min• Selectivities to 100:1• Etch depth>200 μm with aspect ratio >20:1• Profile control >85° slope• Proprietary notch reduction (undercut)@ dielectric interface

• SLR 770 Bosch Si etcher using SF6 and C4F8• SLR 770 Metal and III-V etcher using Cl2, BCl3 and CH4

NRF DRY ETCH CAPABILITIES

• 2 ICP Dielectric Etchers: • Ulvac NLD 570 Oxide Etcher

• Etch rate to 0.3μm/min and depth to 100μm

• Load-locked and turbo-pumpedwith heated chamber for etch repeatability

• Reduced heating for better process control using B field

• Uses Ar and C3F8 for straight sidewalls

• Etch substrates include glass, quartz, silicon nitride

• STS Advanced Oxide Etcher• Etch rate to 1 μm/min and

depths to 50 μm

Ulvac Oxide Etcher Ulvac-etched glass Microfluidic Channel

• 2 RIE Etchers: Oxford 80+ and Technics RIE 800 using F chemistry for Si oxide and nitride etching• 2 Tegal barrel strippers and 1 Matrix 105 low damage asher

STS AOE ICP Oxide Etcher Student loads Tegal stripper

NRF PLASMA DEPOSITION CAPABILITIES

• 3 Low Temperature PECVD Dielectric Deposition Tools for depositing oxides and nitrides of Si:

• STS Multiplex PECVD Deposition load-locked system• Stress control (eg SixNy < 5 MPa for low stress and > 1.3GPa for high stress)• Refractive index control (eg 1.9-2.2 for nitride)• Phos doping from 0-4 wt%• Films of oxide, nitride, oxynitride and -Si• Deposition rate control from 10-500 Å/min• Deposition temperature from 200-400 °C• Thicknesses from 100 Å to 10 μm with high conformality

• PlasmaTherm 790 manual load system• Pieces to 4 inch wafers• Oxide, nitride and oxynitride films

STS Multiplex PECVD

Unaxis 790 PECVD system

NRF PLASMA DEPOSITION CAPABILITIES

• BMR ICP Low Temperature PECVD Deposition• Substrates: pieces to 6 inch• High density films at < 50°C• High conformality, films of oxide, nitride &

oxynitride can now be deposited on polymers • Low H2 concentration for device stability• Good thickness uniformity < 2.5%, 3σσ on 6 in. wafer

PECVD @ 400oC

PECVD @ 300oC

PECVD @ 200oC

* Nitride Etchant 6:1 NH4F:HF

0 200 400 600 800

1000

0 50 100 150 200 Chuck Temperature

Wet

Etc

h R

ate

(A/m

in)

Logitech CDP Polisher/de-planarizerNRF Lapping and Polishing Capability

• Programmable polisher• Can use alkaline or acid slurries• Sub 100 nm removal uniformity• Ra in the sub-nanometer range• Control of a wide range of process parameters including: plate temperature, carrier speed and force, plate rotation, slurry dispense rate• In-situ pad conditioner• Pieces up to 8 inch substrates Logitech PM5 Lapper• Used to thin dielectric, semiconductor and metal substrates• Samples can be thinned to tens of microns with one micron accuracy• Semiautomatic operation insures uniform flatness with minimal scratching

Nano-imprint LithographyObducat NIL 6• Substrates: pieces to 6 inch, hard or soft• Thermal cure with PMMA, MR I 7010 etc.• Alignment to about 3 microns• Temperature to 300 °C• Pressure 15 to 80 bars• Resolution < 50 nm possible• Up to 160 step recipes for precise process control

NRF LITHOGRAPHY CAPABILITIES

Optical Lithography2 Suss MA 6 aligners • Top and bottom side alignment • Bond alignment• Resolution to 0.8 microns

Lithography Support Equipment• Programmable spin coaters, bake ovens and

programmable hot plates, solvent & acid wet benches

• Suss SB6 Bonder with anodic and thermocomp- ression bond capability and substrate heating to 450°C

Optical Lithography2 Suss MA 6 aligners• Top and bottom side alignment • Bond alignment• Resolution to 0.8 microns

Nano-imprint LithographyObducat NIL 6• Substrates: pieces to 6 inch, hard or soft• Thermal cure with PMMA, MR I 7010 etc.• Alignment to about 3 microns• Temperature to 300 °C• Pressure 15 to 80 bars• Resolution < 50 nm possible• Up to 160 step recipes for precise process control

Heidelberg DWL 66 LaserWriter

Photomask Fabrication at the NRF

Low-cost, quick turn around mask writing systemSupports common CAD programs L-Edit, LinkCAD, AutoCad Patterns 4 -7 inch, Cr/glass substrates Effective write grid: 40 nmMinimal structure size: 1 μmActive write area: 140 mm x 140 mmPositional resolution: 10 nmExposure Wavelength: 442 nmSupports gray-scale lithographyData Conversion Formats: CIF, GDSII, Gerber, DXFIntegrated metrology and alignment system for multi-layer exposures and metrology measurements System can scale and invert polarity of pattern file and can combine files from different layout Exchangeable write heads for resolution/print area trade-offPlates wet-developed and wet-etched using standard NRF wet benches and chemical processesOver 2500 photomasks made to date

Loading a mask plate

Inspecting the mask plate

Resolution Test Pattern

ATOMIC LAYER DEPOSITION

• Materials: Al2O3, HfO2, AlxHfyO• Substrate: Pieces to 4 inch• High conformality • Highly scalable process• High aspect ratio structure (50:1)• Excellent uniformity across the wafer; < 1% • Deposition Temperature: 25 to 250°• Monolayer film thickness control

Ultratech/Cambridge Nanotech - Savannah 100

0 20 40 60 80 100 120 140 160 180 200 22002468

101214161820222426283032343638

Thic

knes

s(nm

)

# of cycles

Al2O3 n~1.7 HfO2 n ~ 1.9 AlxHfyOz n ~ 1.6

0 20 40 60 80 100 120 140 160 180 200 22002468

101214161820222426283032343638

Thic

knes

s(nm

)

# of cycles

Al2O3 n~1.7 HfO2 n ~ 1.9 AlxHfyOz n ~ 1.6

RMS=1.2 Å

TEM Image of As-deposited HfO2

3.2 nm HfOx

Si2.1 nm

S=1.2 ÅRMS

TEM Image of As-deposited HfO2

3.2 nm HfOx

SiSi2.1 nm

AFM Image of As-deposited HfO2

-2 -1 0 1 20.0

2.0x10-7

4.0x10-7

6.0x10-7

8.0x10-7

1.0x10-6

1.2x10-6

1.4x10-6

1.6x10-6

C(F

/cm

2 )

Vg(V)

As-Deposited Annealed

HfO2~ 110 A

-2 -1 0 1 210-8

10-7

10-6

10-5

10-4

10-3

10-2

10-1

100

J g(A/c

m2 )

Vg(V)

As-Deposited Annealed

-2 -1 0 1 20.0

2.0x10-7

4.0x10-7

6.0x10-7

8.0x10-7

1.0x10-6

1.2x10-6

1.4x10-6

1.6x10-6

C(F

/cm

2 )

Vg(V)

As-DepositedAnnealed

HfO2~ 110 A

-2 -1 0 1 210-8

10-7

10-6

10-5

10-4

10-3

10-2

10-1

100

J g(A/c

m2 )

Vg(V)

As-Deposited Annealed

Ultratech/Cambridge Nanotech – Fiji F200

Plasma Atomic Layer Deposition

• Substrate: Pieces to 8 inch (4 inch is the standard size)• Load-lock with motorized transfer arm• High conformality and highly scalable process• Excellent uniformity across the wafer; < 1.5% • Ultra high aspect ratio structure (up to 450:1)• Deposition temperature: 25 to 500 °C • Deposition films:

•Oxide: Al2O3, HfO2, La2O5, SiO2, TiO2, ZnO, ZrO2, Ta2O5, SnO2, ITO, Fe2O3, Nb2O5

• Nitride: WN, Hf2N4, Zr3N4, AlN• Metal: Ru, Pt., W, Ni, Fe, Co

• Plasma Mode:• For difficult nitrides and metals• Enables low temperature process• Ability to control stoichiometry• Plasma surface treatment• Faster deposition rate than Thermal ALD• Plasma Source: Ar, N2, O2, H2, NH3, CH4

NRF METROLOGY CAPABILITIES

• SCI Filmtek 2000 spectrophotometer for measuring thickness and refractive index of multiple films including SOI, porous silicon and III-V heterostructures etc.. • Flexus 2320A film stress measuring system.• Nanospec 210 spectrophotometer for measuring film thick- nesses of known refractive index.• M & M 6400 probe station with HP 4145 parametric analyzer• Miscellaneous microscopes, video cameras etc.• CDE ResMap 4 point probe for mapping sheet resistances.• Dektak 6 and 8 surface profilometers with extended vertical range and topology mapping capability (Dektak 8)

Filmtek Reflectance curve for LPCVD nitride film

ResMap resistivity contours

ess

NRF METROLOGY CAPABILITIES• Veeco Dimension 3100 Atomic Force Microscope

NanoScope IV SPM Control Station supports all AFM /STM scanningtechniques. Up to 10X faster topographical scanning, plus phase imaging in air with Tapping Mode. Includes Quadrex Extender Electronics and Q-Control for improved phase, sensitivity and image contrast; high-speed DSP and SPM computer interface electronics; ten micro-actuated probes (Model DMASP)SPECS: X-Y scan range: ~50 μm square Z (vertical) range: ~8 μm

Vertical noise floor: <0.05 nm RMSNon-linearity (X-Y) <1%X-Y noise level: <2 nm RMS, 6 nm peak-to-peak (closed-loop feedback)

Dimension CL, XY Closed-Loop SPM Scan HeadIntegrated Vibration Isolation Table & Acoustic Enclosure

Dimension 3100 Scanning Probe Microscope performs all major AFM imaging techniques on samples up to 200mm in diameter / 12mm thick. Stage resolution: 2μm; bidirectional (x-axis: 4μm, Y-axis: 6μm), video optics (zoom w/ 150-675μm viewing area), Nanolithography Software allows user to build custom lithography programs to control the NanoScope and includes a lithography support note, a compiler, function libraries and sample programs.

NRF OPTICAL METROLOGY

Sopra GES5 Spectroscopic Ellipsometer• Spectral Range: 210 – 900 nm; upgradable for wider spectral range in

DUV, NIR & IR• Spectrometer Accuracy .16 nm• Double Prism & Grating for stray light rejection & more accurate signal

capture• PMT Detection + Photon counting method: better SNR (signal-to-noise

ratio) eliminated need to converts signal with A/D converters & loss of signal

• Photon Counting: SNR is constant over whole spectral range & does not interfere with measurement signal

• Optical Fiber connects direct to spectrometer for reduced signal loss• Microspot formation by lens focusing for measuring pattern samples as

small as 150 μm • Goniometer: variable angle from 7°to 90°, variable ± 0.01°• Typical Applications:

• High-k: SiO2, Si3N4, SiNx, HfO2, Ta2O5,• Low-k: SiOC, SOG, Polymers…• Metals & Interconnects: Al, Cu, Cr, Mo, W, Pt., TiN, TaN, WSix,

AlCu…• Lithography: AR coatings, BARC, SiOxNy • Semiconductors & Epi-layers: SOI, SiGe, Strained Si, SiC, Poly-Si,

a-Si, HSG, III-V: AsGa, InP, GaN…, II-VI: CdTe, ZnSe…

• Used for 3-dimensional, non-contact, surface profile measurements• Vertical Measurement Range 0.1 nm to 1 mm; • Vertical Resolution < 1 Å Ra, RMS Repeatability 0.01 nm• Patterned films of 160 nm and up and thick films ≥ 3 μm • Lateral spatial sampling 0.08 to 13.1 μm, vertical scan speed up to 7.2 μm/sec;

• Field-of-View 8.24 mm to 0.05 mm, reflectivity 1% to 100%• Uses optical phase-shifting and white light vertical scanning

interferometry• Objectives 5.0X, 20X, 50X with 0.5, 1 and 2X extended magnification• Uses a tungsten halogen lamp and automated filter selection• Automated stage; ± 50.8 mm (±2 in.) X/Y translation, ±90° rotation,

±6° tip/tilt

Wyco NT 3300 Optical ProfilerNRF Optical Metrology

MEMS HeaterMicro Connector Simultaneous measurement of X and Y profiles for contact holes

NRF Custom Processing Capability

Automated custom XeF2 etcher for isotropic Si etching

Researcher loads parylene coater prior to deposition

AMMT HF Vapor Etcher with electrostatic chuck for MEMS etching of SiO2 films on pieces to 6 inch substrates

AMMT Porous Silicon Etcher

NRF Custom Processing Capability

Critical point dryer for cleaning delicate MEMs structures using liquid CO2

Denton Desk II Sputter Coaterfor SEM preparation of insulating samples

Researcher loads high temperature oxidation/anneal Furnace (1325 Deg C