Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B...

14
5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----------------------------------------------- 1 - Title Page 2 - Input Power 3 - Phoenix Power Component 4 - Phoenix Audio Component 5 - OMAP4430 Symbol A 6 - OMAP4430 Symbol B 7 - OMAP4430 Symbol C 8 - OMAP4430 Debug Interface 9 - SDMMC Card Interface + USB Phy (Hub) 10 - DVI & HDMI Connector 11 - Debug Ethernet 12 - Audio Jack/RS-232 Connection 13 - Expansion Connectors 14 - WLAN Subsystem Created from 750-2152-001, changed input power sensing and enable circuitry, power changes to OMAP A Rev Date Notes 9/10/2010 B ECN-13172 - Changed U13, DNI R148, install board ID resistor R109, DNI Mic bias resistors, and change T/N for R165 10/11/2010 C 10/28/2010 ECN-13183 - Changed resistor stuffing on JTAG connector D 11/8/2010 ECN-13192 - Changed U14 IC to Schmitt-trigger device Rev Sheet of Date: Document Number 750-2152-002-SCH OMAP4430 Panda Board, 8-Layer Title Page D Monday, November 29, 2010 1 14 Rev Sheet of Date: Document Number 750-2152-002-SCH OMAP4430 Panda Board, 8-Layer Title Page D Monday, November 29, 2010 1 14 Rev Sheet of Date: Document Number 750-2152-002-SCH OMAP4430 Panda Board, 8-Layer Title Page D Monday, November 29, 2010 1 14

Transcript of Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B...

Page 1: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

T

able

of C

onte

nts

Pg#

- Sc

hem

atic

Pag

e N

ame

----

----

----

----

----

----

----

----

----

----

----

---

1 -

Titl

e Pa

ge2

- In

put P

ower

3 -

Pho

enix

Pow

er C

ompo

nent

4 -

Pho

enix

Aud

io C

ompo

nent

5 -

OM

AP44

30 S

ymbo

l A6

- O

MAP

4430

Sym

bol B

7 -

OM

AP44

30 S

ymbo

l C8

- O

MAP

4430

Deb

ug In

terf

ace

9 -

SD

MM

C Ca

rd In

terf

ace

+ U

SB P

hy (H

ub)

10 -

DVI

& H

DM

I Con

nect

or11

- D

ebug

Eth

erne

t 12

- A

udio

Jack

/RS-

232

Conn

ectio

n13

- E

xpan

sion

Con

nect

ors

14 -

WLA

N S

ubsy

stemC

reat

ed fr

om 7

50-2

152-

001,

cha

nged

inpu

t pow

er s

ensi

ng a

nd e

nabl

e ci

rcui

try, p

ower

cha

nges

to O

MA

PARev

Dat

eN

otes

9/10

/201

0

BE

CN

-131

72 -

Cha

nged

U13

, DN

I R14

8, in

stal

l boa

rd ID

resi

stor

R10

9, D

NI M

ic b

ias

resi

stor

s, a

nd c

hang

e T/

N fo

r R16

510

/11/

2010

C10

/28/

2010

EC

N-1

3183

- C

hang

ed re

sist

or s

tuffi

ng o

n JT

AG

con

nect

or

D11

/8/2

010

EC

N-1

3192

- C

hang

ed U

14 IC

to S

chm

itt-tr

igge

r dev

ice

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Title

Pag

eD

Mon

day,

Nov

embe

r 29,

201

01

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Title

Pag

eD

Mon

day,

Nov

embe

r 29,

201

01

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Title

Pag

eD

Mon

day,

Nov

embe

r 29,

201

01

14

Page 2: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

Max

Cur

rent

Rat

ing

= 1

.5A

Not

e: O

N =

Inp

ut P

ower

ou

t of

ran

ge.

ECN

-131

72 -

Cha

nged

R16

5 fr

om

111

-100

3526

to 2

72-0

349-

000

(120

6 w

ide

befo

re v

s. 1

206

afte

r)

AD

J_V

BA

T

DV

I_A

DJ

INP

UT_

PW

R_n

DIS

INP

UT_

PW

R_n

DIS

EN

_VB

US

PW

Rn

OV

ER

VO

LTA

GE

_RS

T

OV

_LE

D_I

ND

OV

_LE

D_O

UT

OV

_VD

D

PW

R_I

N_B

RD

_R1C

1

PW

R_I

N_B

RD

_R2

PW

R_I

N_H

ST_

R1C

1

PW

R_I

N_H

ST_

R2

VB

US

_AB

VB

US

_IN

VB

AT

VD

D_3

V3

VB

AT

DC

IN_J

AC

K

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DC

IN_J

AC

K

DC

_5V

DC

IN_J

AC

K

DG

ND

DG

ND

DG

ND

DC

IN_J

AC

K

DG

ND

DC

_5V

DG

ND

DC

IN_J

AC

K

DC

_HS

T_5V

DG

ND

DG

ND

VB

US

_IN

H_U

SB

1_D

M[6

]H

_US

B1_

DP

[6]

H_U

SB

1_ID

[3]

NU

SB

_PW

R[1

3]

PH

O_R

EG

EN

1[1

3,3]

HU

B_N

PD

[11,

6]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Inpu

t Pow

er &

Sup

plie

sD

Mon

day,

Nov

embe

r 29,

201

02

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Inpu

t Pow

er &

Sup

plie

sD

Mon

day,

Nov

embe

r 29,

201

02

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Inpu

t Pow

er &

Sup

plie

sD

Mon

day,

Nov

embe

r 29,

201

02

14

U20 TP

S38

03G

15

U20 TP

S38

03G

15NC

1G

ND

2

RS

ET

3V

DD

4

SE

NS

E5

C20

410

uFC

204

10uF

R15

415

0KR

154

150K

47k10k

T1B

RN

1907

47k10k

T1B

RN

1907

4

5

3

U22

TPS

2141

PW

P

U22

TPS

2141

PW

P

SW

_PG

1

SW

_IN

2S

W_I

N3

LDO

_IN

4

SW

_EN

5

LDO

_EN

6

GND7

LDO

_PG

8A

DJ

9

LDO

_PLD

N10

LDO

_OU

T11

SW

_OU

T12

SW

_OU

T13

SW

_PLD

N14

PPAD15

R17

2

620K

R17

2

620K

R16

433

KR

164

33K

U17

FDC

6330

LU

17FD

C63

30L

R2

1V

OU

T12

VO

UT2

3V

IN4

ON

/OFF

5

R1/

C1

6

C20

84.

7uF

10V

C20

84.

7uF

10V

J15

DN

I

1043

50-1

J15

DN

I

1043

50-1

12

U15

FDC

6330

LU

15FD

C63

30L

R2

1V

OU

T12

VO

UT2

3V

IN4

ON

/OFF

5

R1/

C1

6

C16

956

0pF

DN

IC

169

560p

FD

NI

R16

94.

02K

R16

94.

02K

R17

320

0KR

173

200K

C19

84.

7UF

C19

84.

7UF

R16

6D

NI

100K

R16

6D

NI

100K

U25

TPD

3E00

1DR

YR

U25

TPD

3E00

1DR

YR

I/O1

1

I/O2

2

GN

D3

I/O3

4N

/C5

VC

C6

R16

810

0KR

168

100K

+C

171

47uF

+C

171

47uF

U23

TL19

63A

DC

Q11

1-10

0319

2

U23

TL19

63A

DC

Q11

1-10

0319

2

SH

DN

1IN

2

GND13

OU

T4

AD

J5

GND26

C21

110

uFC

211

10uF

RE

DD

3S

ML-

LX06

03IW

-TR

RE

DD

3S

ML-

LX06

03IW

-TR

C20

10.

1uF

C20

10.

1uF

R15

10

R15

10

R15

310

0KR

153

100K

R14

910

0KR

149

100K

R16

715

0KR

167

150K

R15

810

0KR

158

100K

F1 2A_Q

uick

Blo

wF1 2A

_Qui

ckB

low

12

R16

210

0KR

162

100K

C17

856

0pF

DN

IC

178

560p

FD

NI

J18

5657

9-05

67

J18

5657

9-05

67

VB

1

D-

2

D+

3

ID4

G1

5

G37

G26

G59

G48

R15

00

R15

00

R16

00

R16

00

P3

PJ-

002A

P3

PJ-

002A

12 3

J10

DN

I10

4350

-1J1

0D

NI

1043

50-1 1

2

47k10k

T1A

RN

1907

47k10k

T1A

RN

1907

1

2

6

R16

539

0R

165

390

R17

010

KR

170

10K

C19

70.

1uF

C19

70.

1uF

Page 3: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

Pho

enix

Pow

er M

anag

emen

t IC

SM

PS

Pow

er In

puts

& O

utpu

ts

Com

pone

nt R

OO

M =

PM

IC

BO

OT3

= '0

' ->

Dis

able

VA

UX

1 LD

O @

Boo

t-up

BO

OT2

= '1

' ->

VA

UX

1 LD

O d

efau

lt =

1.8V

BO

OT1

= '1

' ->

VM

EM

= 1

.2V

(S4b

LP

DD

R2

used

)B

OO

T0 =

'0'

-> H

igh

batte

ry th

resh

olds

for V

BA

TMIN

OM

AP

4 P

ower

Man

agem

ent

RTC

Clo

ck R

ef LDO

Pow

er In

puts

LDO

Out

puts

ECN

-131

92

Cha

nged

U14

from

a S

N74

LVC

1G17

DC

KR

to a

SN

74A

UP1

G17

DC

KR

PH

O_B

OO

T1

PH

O_n

RE

SP

WR

ON

PH

O_O

SC

32K

_CA

P

PH

O_P

WR

_IR

EF

PH

O_P

WR

_IR

EF

PH

O_P

WR

_VB

G

PH

O_X

TAL_

32K

IP

HO

_XTA

L_32

KO

VB

AT_

V1V

29

VB

AT_

V1V

8

VB

AT_

V2V

1

VB

AT_

VC

OR

E1

VB

AT_

VC

OR

E2

VB

AT_

VC

OR

E3

VB

AT_

VM

EM

VDD_ANA

V_C

OR

E1_

SW

V_C

OR

E2_

SW

V_C

OR

E3_

SW

V_V

1v2_

SW

V_V

2v1_

SW

V_V

IO_S

W

V_V

ME

M_S

W

VD

D_V

CO

RE

3

VD

D_V

CO

RE

2

VD

D_V

2V1

VD

D_V

ME

M

VB

AT

VD

D_V

CX

IO

VB

AT

VB

AT

VB

AT

VB

AT

VD

D_V

US

B

VB

AT

VD

D_M

MC

1

VB

AT

VD

D_V

2V1

VD

D_V

CO

RE

1

VB

AT

VD

D_V

1V29

VIO

_1v8

VD

D_R

TC

VD

D_V

DA

C

VD

D_V

PP

VD

D_R

TC

VD

D_V

AU

X2

VD

D_V

AU

X3

VIO

_1v8

VIO

_1v8

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND D

GN

D

DG

ND

DG

ND

DG

ND

DG

ND

VB

US

_IN

DG

ND

DG

ND

VIO

_1v8

CLK

32K

_AU

D[4

]C

LK32

K_M

AIN

[6]

H_I

2C1_

SD

A[4

,6]

H_S

RI2

C_S

DA

[6]

H_I

2C1_

SC

L[4

,6]

H_S

RI2

C_S

CL

[6]

MM

C1_

CD

[9]

H_S

YS

_NIR

Q1

[6]

H_S

YS

_NR

ES

PW

RO

N[1

3,4,

6,8]

H_S

YS

_NR

ES

WA

RM

[13,

6]

H_S

YS

_DR

M_M

SE

C[6

]

CLK

32K

_GA

TE[1

4]

H_S

YS

_PW

RR

EQ

[6]

PH

O_R

EG

EN

1[1

3,2]

H_U

SB

1_ID

[2]

PB

_PO

WE

R_O

N[1

3]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

TWL6

030

Pow

er C

ompa

nion

ICD

Mon

day,

Nov

embe

r 29,

201

03

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

TWL6

030

Pow

er C

ompa

nion

ICD

Mon

day,

Nov

embe

r 29,

201

03

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

TWL6

030

Pow

er C

ompa

nion

ICD

Mon

day,

Nov

embe

r 29,

201

03

14

C12

012

pFC

120

12pF

L10

1.0u

HL1

01.

0uH

C15

110

uFC

151

10uF

C15

04.

7UF

C15

04.

7UF

C1332.2uF C1332.2uF

C1662.2uF C1662.2uF

L91.

0uH

L91.

0uH

C16

010

uFC

160

10uF

L22

BLM

15P

D12

1SN

1D

L22

BLM

15P

D12

1SN

1D

C1292.2uF C1292.2uF

C14

610

uFC

146

10uF

C1652.2uF C1652.2uF

C15

610

uFC

156

10uF

C14

74.

7UF

C14

74.

7UF

L13

BLM

15P

D12

1SN

1D

L13

BLM

15P

D12

1SN

1D

C1102.2uF C1102.2uF

C1182.2uF C1182.2uF

L21

BLM

15P

D12

1SN

1D

L21

BLM

15P

D12

1SN

1D

C1452.2uF C1452.2uF

R11

410

KR

114

10K

C13

110

uFC

131

10uF

L19

1.0u

HL1

91.

0uH

VC

C

GN

D

NCU

14

SN

74A

UP

1G17

DC

KR

VC

C

GN

D

NCU

14

SN

74A

UP

1G17

DC

KR 4

3

1

2

5

C12

112

pFC

121

12pF

C13

04.

7UF

C13

04.

7UF

L11

BLM

15P

D12

1SN

1D

L11

BLM

15P

D12

1SN

1D

L17

1.0u

H3.

0AL1

71.

0uH

3.0A

C15

84.

7UF

C15

84.

7UF

R13

91M

egR

139

1Meg

C15

54.

7UF

C15

54.

7UF

L18

BLM

18K

G22

1SN

1D

L18

BLM

18K

G22

1SN

1D

C1672.2uF C1672.2uF

C16

42.

2uF

C16

42.

2uF

C16

110

uFC

161

10uF

C10

52.

2uF

C10

52.

2uF

C16

30.

1uF

C16

30.

1uF

C1152.2uF C1152.2uF

C11

10.

1uF

C11

10.

1uF

L15

0.68

uHL1

50.

68uH

C15

34.

7UF

C15

34.

7UF

Pho

enix

PM

IC

FB

GA

7x7

mm

16x1

6 pa

ckag

e

0.4m

m p

itch

VC

OR

E1

SM

PS

VC

OR

E2

SM

PS

VC

OR

E3

SM

PS

V1V

8 S

MP

S

V2V

1 S

MP

S

V1V

29 S

MP

S

VM

EM

SM

PS

Mis

c LD

O's

Pow

er in

put

BU

S C

TRL

ON

/OFF

/BO

OT

CD

/JTA

GB

andg

ap

US

B O

TG

Cha

rger

Gau

geA

DC

'sC

LK C

TRL

32kH

z

GN

D

U12

111-

1003

382

Pho

enix

PM

IC E

S2

Pho

enix

PM

IC

FB

GA

7x7

mm

16x1

6 pa

ckag

e

0.4m

m p

itch

VC

OR

E1

SM

PS

VC

OR

E2

SM

PS

VC

OR

E3

SM

PS

V1V

8 S

MP

S

V2V

1 S

MP

S

V1V

29 S

MP

S

VM

EM

SM

PS

Mis

c LD

O's

Pow

er in

put

BU

S C

TRL

ON

/OFF

/BO

OT

CD

/JTA

GB

andg

ap

US

B O

TG

Cha

rger

Gau

geA

DC

'sC

LK C

TRL

32kH

z

GN

D

U12

111-

1003

382

Pho

enix

PM

IC E

S2

VB

US

_B1

C1

VB

US

_B2

D1

VB

US

_B3

C2

CH

RG

_LE

D_T

ES

TD

5

PREQ1J9

PREQ2BK8

VB

GG

12

CTLI2C_SCLM4 CTLI2C_SDAN4

BOOT2G9

NRESPWRONN5

NRESWARMM5

CHRG_PGND_B4B5

PWRONL5

REGEN1K7

RPWRONK5

SYSENM6

INTK10

MSECUREN2

SRI2C_SCLM13 SRI2C_SDAN13

IDE

12

V1V

8_FD

BK

L15

V1V

8_G

ND

_B1

M16

V1V

8_G

ND

_B2

L16

V1V

8_IN

_B1

T13

V1V

8_IN

_B2

T14

V1V

8_S

W_B

1N

16

V1V

8_S

W_B

2P

16

V2V

1_FD

BK

F16

V2V

1_G

ND

_B1

E16

V2V

1_G

ND

_B2

E15

V2V

1_IN

_B1

C16

V2V

1_IN

_B2

C15

V2V

1_S

W_B

1D

16

V2V

1_S

W_B

2D

15

VC

OR

E1_

FDB

KL2

VC

OR

E1_

GN

D_B

1M

1

VC

OR

E1_

GN

D_B

2L1

VC

OR

E1_

GN

D_B

3M

2

VC

OR

E1_

IN_B

1T4

VC

OR

E1_

IN_B

2T3

VC

OR

E1_

IN_B

3R

3

VC

OR

E1_

SW

_B1

N1

VC

OR

E1_

SW

_B2

P1

VC

OR

E1_

SW

_B3

P2

VC

OR

E2_

FDB

KR

4

VC

OR

E2_

GN

D_B

1T5

VC

OR

E2_

GN

D_B

2R

5

VC

OR

E2_

IN_B

1T7

VC

OR

E2_

IN_B

2R

7

VC

OR

E2_

SW

_B1

T6

VC

OR

E2_

SW

_B2

R6

VC

OR

E3_

FDB

KG

1

VC

OR

E3_

GN

D_B

1H

1

VC

OR

E3_

GN

D_B

2H

2

VC

OR

E3_

IN_B

1K

1

VC

OR

E3_

IN_B

2K

2

VC

OR

E3_

SW

_B1

J1

VC

OR

E3_

SW

_B2

J2

V1V

29_F

DB

KG

16

V1V

29_G

ND

_B1

H16

V1V

29_G

ND

_B2

H15

V1V

29_I

N_B

1K

16

V1V

29_I

N_B

2K

15

V1V

29_S

W_B

1J1

6

V1V

29_S

W_B

2J1

5

VM

EM

_FD

BK

R13

VM

EM

_GN

D_B

1T1

2

VM

EM

_GN

D_B

2R

12

VM

EM

_IN

_B1

T10

VM

EM

_IN

_B2

R10

VM

EM

_SW

_B1

T11

VM

EM

_SW

_B2

R11

CH

RG

_AU

XP

WR

E6

CH

RG

_BO

OT

G2

CH

RG

_CS

INE

4

CH

RG

_CS

OU

TD

4

CH

RG

_DE

T_N

E5

CHRG_PGND_B1A5

CHRG_PGND_B2A6

CHRG_PGND_B3B6

CH

RG

_PM

ID_B

1E

1

CH

RG

_PM

ID_B

2F1

CH

RG

_PM

ID_B

3E

2

CH

RG

_EX

TCH

RG

_STA

TZH

7

CH

RG

_SW

_B1

A3

CH

RG

_SW

_B2

A4

CH

RG

_SW

_B3

B4

CH

RG

_EX

TCH

RG

_EN

ZJ7

VA

CF4

CH

RG

_VR

EF

F5

IRE

FH

12

RE

FGN

D_B

1A

9

MMCN11 SIMN12

GGAUGE_RESND13

GGAUGE_RESPE13

CH

RG

_SW

_B4

B3

VB

US

_B4

D2

GPADC_IN0D12

GPADC_IN1B11

GPADC_IN2B14

GPADC_IN3A13

GPADC_IN4B12

GPADC_IN5A14

GPADC_IN6B15

GPADC_STARTK12

GND_ANA_B1N8

GND_ANA_B2M10

GND_ANA_B3E11

GND_ANA_B4L13

GND_ANA_B5D9

GND_ANA_B6H4

VDD_B1N9

VDD_B2G13

VDD_B3B9

VDD_B4L4

VIOM9

VBATB13

CLK

32K

AU

DIO

E9

PREQ3N6

VBACKUPE10

CLK

32K

AO

H10

CLK

32K

GJ1

0

OS

C32

KIN

A10

OS

C32

KC

AP

E8

GND_DIG_VIOM8

VANAB10

VAUX1T8

VAUX2T9

VCXIOF15

VDACG15

GND_DIG_VRTCG4

RE

FGN

D_B

2F1

2

VMMCJ13

VPPK4

BATREMOVALL12

VRTCD7

VUSBA7

VUSIMB8

BOOT3H9

VANA_IND10

VAUX1_INN7

VAUX2_INN10

VAUX3R9

VAUX3_INR8

VCXIO_INF13

VDAC_INH13

VMMC_IN1J12

VPP_INJ4

VUSIM_IN1D8

GPADC_VREF1A11

GPADC_VREF4A12

V1V

8_G

ND

_B3

M15

V1V

8_IN

_B3

R14

V1V

8_S

W_B

3P

15

PBKG_B31T16 PBKG_B32T15 PBKG_B33R16 PBKG_B41A1 PBKG_B42A2

VMMC_IN2K13

VUSIM_IN2B7

BOOT0H8

CH

RG

_PM

ID_B

4F2

BOOT1G8

REGEN2J5

OS

C32

KO

UT

A8

PWM1M11

PBKG_B11T1

PBKG_B2H5

PBKG_B13R1

PBKG_B12T2

PBKG_B43B1

PB

KG

_B51

A16

PB

KG

_B53

B16

TESTENJ8

TESTVA15

PREQ2AK9

PREQ2CM7

PWM2M12

PWMFORCEN15

CH

RG

_LE

D_I

ND

6

GND_ANA_B7G7

VP

RO

GG

10

VRTC_IND11

C1242.2uF C1242.2uF

R12

2D

NI

0R

122

DN

I0

C1042.2uF C1042.2uF

L14

1.0u

HL1

41.

0uH

L20

1.0u

HL2

01.

0uH

C15

94.

7UF

C15

94.

7UF

C1492.2uF C1492.2uF

C1072.2uF C1072.2uF

C15

210

uFC

152

10uF

Y2

32.7

68kH

zY

232

.768

kHz

12

C1682.2uF C1682.2uF

C1032.2uF C1032.2uF

L16

BLM

18K

G22

1SN

1D

L16

BLM

18K

G22

1SN

1D

L8

BLM

15P

D12

1SN

1D

L8

BLM

15P

D12

1SN

1D

C1062.2uF C1062.2uF

C1092.2uF C1092.2uF

Page 4: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

Com

pone

nt R

OO

M =

PA

UD

OM

AP

4 A

udio

Inte

rface

s

Aud

io In

Con

trols

Pho

enix

Aud

io IC

ECN

-131

72

DN

I R15

7, R

159

and

C18

4 (d

isco

nnec

t BIA

S vo

ltage

)

AU

DIO

_AC

CO

NN

HM

IC_B

IAS

AU

D_P

LUG

DE

T

CLK

32K

_AU

D

HD

_MIC

_N

HD

_MIC

_P

h_A

BE

_CLK

S

h_A

UD

_PW

RO

N

h_FR

EF_

ALT

CLK

_IN

_OM

AP

h_P

DM

_CLK

h_P

DM

_DL_

DA

TA

h_P

DM

_FR

AM

Eh_

PD

M_U

L_D

ATA

h_S

YS

_nIR

Q2

PH

O_C

FLY

N

PH

O_C

FLY

P

PH

O_H

BIA

S

PH

O_H

MIC

_N

PH

O_H

MIC

_P

PH

O_R

EFN

PH

O_R

EFP

PH

O_V

RE

F

PH

X_A

UX

_LN

PH

X_A

UX

_RN

V2V

1_P

AU

D_2

V1_

NC

P_F

LT

VB

AT_

PA

UD

_FLT

VH

S_P

AU

D_V

INS

VH

S_P

AU

D_V

INS

VH

S_P

AU

D_V

INS

VLS

_PA

UD

_VIN

S

VLS

_PA

UD

_VIN

S

VLS

_PA

UD

_VIN

SV

NC

P_P

AU

D_L

DO

INVN

CP

_PA

UD

_LD

OIN

GN

DH

SE

TG

ND

HS

ET

VB

AT

VIO

_1v8

GN

DH

SE

T

GN

DH

SE

T

VD

D_V

2V1

GN

DH

SE

T

GN

DH

SE

T

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

_AU

D

DG

ND

DG

ND

DG

ND

VB

AT

GN

DH

SE

T

DG

ND

GN

DH

SE

TD

GN

D

DG

ND

GN

DH

SE

T

GN

DH

SE

TG

ND

HS

ET

GN

DH

SE

T

H_P

DM

_UL_

DA

TA[5

]

AU

D_H

SR

[12]

AU

D_H

SL

[12]

H_P

DM

_DL_

DA

TA[5

]

CLK

32K

_AU

D[3

]

H_A

UD

_PW

RO

N[6

]H

_SY

S_N

IRQ

2[6

]

H_P

DM

_FR

AM

E[5

]

H_A

BE

_CLK

S[5

]H

_PD

M_C

LK[5

]

H_I

2C1_

SD

A[3

,6]

H_I

2C1_

SC

L[3

,6]

H_S

YS

_NR

ES

PW

RO

N[1

3,3,

6,8]

H_F

RE

F_A

LTC

LK_I

N_O

MA

P[6

]

FM_A

NA

_L_I

N[1

4]

FM_A

NA

_R_I

N[1

4]

FM_A

NA

_L_O

UT

[14]

FM_A

NA

_R_O

UT

[14]

HFL

_P[1

3]H

FL_N

[13]

HFR

_N[1

3]H

FR_P

[13]

AU

DIN

_P[1

2]

AU

DIN

_N[1

2]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

TWL6

040

Aud

io C

ompa

nion

ICD

Mon

day,

Nov

embe

r 29,

201

04

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

TWL6

040

Aud

io C

ompa

nion

ICD

Mon

day,

Nov

embe

r 29,

201

04

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

TWL6

040

Aud

io C

ompa

nion

ICD

Mon

day,

Nov

embe

r 29,

201

04

14

TP15

TP15

C18

00.

1uF

C18

00.

1uF

L25

BLM

15P

D12

1SN

1D

L25

BLM

15P

D12

1SN

1D

C19

047

0nF

C19

047

0nF

TP8

TP8

C18

422

0nF

DN

IC

184

220n

FD

NI

R15

649

.9R

156

49.9

C17

40.

1uF

C17

40.

1uF

TP21

TP21

C18

14.

7UF

C18

14.

7UF

C17

01n

FC

170

1nF

C19

50.

1uF

C19

50.

1uF

C18

30.

1uF

C18

30.

1uF

R15

920

0D

NI

R15

920

0D

NI

R15

71K

DN

IR

157

1KD

NI

C18

50.

1uF

C18

50.

1uF

C19

94.

7UF

C19

94.

7UF

R15

549

.9R

155

49.9

TP19

TP19

TP18

TP18

C17

50.

1uF

C17

50.

1uF

C17

64.

7UF

C17

64.

7UF

TP14

TP14

L24

BLM

15P

D12

1SN

1D

L24

BLM

15P

D12

1SN

1D

C18

90.

1uF

C18

90.

1uF

TP17

TP17

R15

21KR

152

1K

C19

347

0nF

C19

347

0nF

C19

10.

1uF

C19

10.

1uF

TP20

TP20

C18

64.

7UF

C18

64.

7UF

C17

20.

1uF

C17

20.

1uF

C17

74.

7UF

C17

74.

7UF

C18

710

uFC

187

10uF

TP10

TP10

L23

BLM

18K

G22

1SN

1D

L23

BLM

18K

G22

1SN

1D

TP23

TP23

C17

30.

1uF

C17

30.

1uF

TP22

TP22

C20

02.

2uF

C20

02.

2uF

TP12

TP12

TP16

TP16

Pho

enix

Aud

io IC

HS

/LS

-LD

O's

Unu

sed

NS

MP

S

Ban

dgap

Vib

rato

r

PLL

Con

trol

Cod

ec s

uppl

y

Test

Han

dsfre

e ou

tput

Aux

iliar

y ou

tput

FM in

put

Ear

piec

eou

tput

Hea

dset

Mai

n m

ic.

Sec

onda

rym

ic.

Dig

ital

mic

bia

s

Mis

c

U19

Pho

enix

_aud

io_1

_1

Pho

enix

Aud

io IC

HS

/LS

-LD

O's

Unu

sed

NS

MP

S

Ban

dgap

Vib

rato

r

PLL

Con

trol

Cod

ec s

uppl

y

Test

Han

dsfre

e ou

tput

Aux

iliar

y ou

tput

FM in

put

Ear

piec

eou

tput

Hea

dset

Mai

n m

ic.

Sec

onda

rym

ic.

Dig

ital

mic

bia

s

Mis

c

U19

Pho

enix

_aud

io_1

_1

DTEST2L1

EA

RP

B10

VS

SE

AR

C10

VDDHFL1A3

HFLP1B4

VDDHFL2A6

HFRP1A9

VDDHFR1A7

VIBLND3

GNDVIBLD2

AUXLNF3

VD

DE

AR

B11

EA

RN

C11

GNDHFL2C5

HFLN1B5

VD

DR

EG

NC

PH

11

HFRP2B9HFRN1A8

VIBLPC1

VDDVIBLC2

VIBRPA2

VS

SH

SH

10

HS

RK

11

GNDIOJ8

HFLP2A4

HFLN2A5

HFRN2B8

GNDHFR1C7

VIBRNB1

VD

DLD

OD

1

VD

DH

SJ1

0

HS

LJ1

1

VD

DD

LE

9

DTEST1A1

VDDHFR2A10

NC2D6

NC3D7

NC4E5

VD

D2V

1E

2

GN

DLD

O1

E3

AFM

LF1

HM

ICN

H2

GNDVIBRB3

GN

DH

SH

9

AUXLPG3

PB

KG

1F5

PB

KG

2F6

NC5E6

NC6E7

NC

PFB

G9

HM

ICP

H1

MM

ICN

J2

GN

DV

CM

J1

AUXRNF4

AUXRPG4

NC7F8

CFL

YN

F11

CFL

YP

G11

MM

ICP

K1

VD

DU

LG

2

NC8G7

NC9G8

AUDPWROND8

AUDINTE8

AFM

RF2

GN

DN

CP

1F1

0G

ND

NC

P2

G10

VS

SU

LG

1

SM

ICN

H4

VS

SD

LF9

NC1D5

DB

IAS

2L4

VDDVIBRB2

VS

SLD

OIN

D11

NC

PO

UT1

E10

NC

PO

UT2

E11

SM

ICP

J4

VD

DD

MB

IAS

K4

PROGL11

GN

DD

IGJ6

DTEST3A11

PDMFRAMEH8

PLU

GD

ET

G5

VS

SLD

OD

10V

DD

AM

BIA

SL2

MB

IAS

K3

GN

DD

MIC

L3

VD

DV

RE

FH

5

SDAH6

PDMDLK9

VDDVIOL9

PDMCLKLBK8

AC

CO

NN

E1

MC

LKK

7

GN

DA

MIC

H3

HB

IAS

J3

GNDHFL1C4

RE

FL5

GN

DR

EF

K5

SCLG6

PDMCLKL10 PDMULL8

CLK

32K

H7

VD

DP

LLJ7

VS

SP

LLL7

DB

IAS

1J5

GN

DLD

O2

D9

PB

KG

3F7

PB

KG

4E

4

GNDHFL3C6

GNDHFR2C8

GNDHFR3C9

NRESPWRONJ9

GPO1D4

GPO2B6

GPO3B7

ATESTK2

PB

KG

5K

10

RE

FPK

6

RE

FNL6

C19

42.

2uF

C19

42.

2uF

C18

21n

FC

182

1nF

Page 5: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

RS

-232

US

BB

1

SD

/MM

C1

PD

M

HD

MI

EX

PA

NS

ION B

T

WLA

N

CA

ME

RA

SE

NS

OR

CA

ME

RA

SE

NS

OR

OM

AP

4430

Sym

bol "

A"

CV

ID_F

BC

VID

_RS

ET

LT1_

MM

C1_

CLK

LT1_

MM

C1_

CM

DLT

1_M

MC

1_D

0LT

1_M

MC

1_D

1LT

1_M

MC

1_D

2LT

1_M

MC

1_D

3LT

1_M

MC

1_D

4LT

1_M

MC

1_D

5LT

1_M

MC

1_D

6LT

1_M

MC

1_D

7

LT1_

SD

IO5_

CLK

O4_

MC

SP

I1_S

CLK

DG

ND

H_S

DM

MC

2_C

MD

[13]

H_H

DM

I_D

ATA

2X[1

0]H

_HD

MI_

DA

TA2Y

[10]

CS

I21_

DX

1[1

3]

H_H

DM

I_D

ATA

0X[1

0]

H_H

DM

I_D

ATA

1X[1

0]H

_HD

MI_

DA

TA0Y

[10]

H_H

DM

I_D

ATA

1Y[1

0]

H_U

AR

T3_T

X_I

RTX

[12]

H_U

AR

T3_R

X_I

RR

X[1

2]H

_UA

RT3

_RTS

_IR

SD

[12]

H_U

AR

T3_C

TS_R

CTX

[12]

CS

I21_

DY

1[1

3]

H_P

DM

_UL_

DA

TA[4

]

H_P

DM

_FR

AM

E[4

]H

_PD

M_C

LK[4

]H

_AB

E_C

LKS

[4]

H_P

DM

_DL_

DA

TA[4

]

H_S

DM

MC

1_C

MD

[9]

H_S

DM

MC

1_C

LK[9

]

H_S

DM

MC

1_D

AT0

[9]

H_S

DM

MC

1_D

AT1

[9]

H_S

DM

MC

1_D

AT2

[9]

H_S

DM

MC

1_D

AT3

[9]

CS

I21_

DX

2[1

3]

H_H

DM

I_S

CL

[10]

HD

MI_

LS_O

E[1

0]

HD

MI_

CT_

CP

_HP

D[1

0]

CS

I21_

DY

2[1

3]

H_H

DM

I_S

DA

[10]

H_U

SB

B1_

CLK

[9]

CS

I21_

DX

3[1

3]

H_U

SB

B1_

STP

[9]

H_H

DM

I_C

EC

[10]

CS

I21_

DY

3[1

3]

H_S

DM

MC

2_C

LK[1

3]

H_U

SB

B1_

DIR

[9]

H_H

DM

I_H

PD

[10]

CS

I21_

DX

4[1

3]

H_U

SB

B1_

NX

T[9

]

H_S

DM

MC

1_D

AT4

[9]

H_S

DM

MC

1_D

AT5

[9]

H_S

DM

MC

1_D

AT6

[9]

H_S

DM

MC

1_D

AT7

[9]

CS

I21_

DX

0[1

3]

CS

I21_

DY

4[1

3]

H_H

DM

I_C

LOC

KX

[10]

H_H

DM

I_C

LOC

KY

[10]

CS

I21_

DY

0[1

3]

WLA

N_N

IRQ

[14]

HC

I_TX

[14]

HC

I_R

X[1

4]

HC

I_R

TS[1

4]H

CI_

CTS

[14]

H_U

SB

B1_

DA

T2[9

]H

_US

BB

1_D

AT3

[9]

H_U

SB

B1_

DA

T6[9

]H

_US

BB

1_D

AT7

[9]

H_U

SB

B1_

DA

T4[9

]H

_US

BB

1_D

AT5

[9]

H_U

SB

B1_

DA

T0[9

]H

_US

BB

1_D

AT1

[9]

H_C

AM

_SH

UTT

ER

[13]

H_C

AM

_STR

OB

E[1

3]H

_CA

M_G

LB_R

ES

ET

[13]

WLA

N_S

DIO

_D1

[14]

WLA

N_S

DIO

_D2

[14]

WLA

N_S

DIO

_D3

[14]

WLA

N_S

DIO

_D0

[14]

WLA

N_S

DIO

_CM

D[1

4]W

LAN

_SD

IO_C

LK[1

4]

H_M

CB

SP

1_D

R[1

4]

H_M

CB

SP

1_FS

X[1

4]

H_M

CB

SP

1_C

LK[1

4]

H_M

CB

SP

1_D

X[1

4]

H_M

CB

SP

2_D

R[1

4]

H_M

CB

SP

2_FS

X[1

4]

H_M

CB

SP

2_C

LK[1

4]

H_M

CB

SP

2_D

X[1

4]

DV

I_M

SE

N[1

0]

BT_

WA

KE

UP

[14]

H_G

PM

C_N

AD

V_A

LE[1

3]

H_G

PM

C_N

BE

0_C

LE[1

3]

H_G

PM

C_N

CS

0[1

3]H

_GP

MC

_NC

S1

[13]

H_G

PM

C_W

AIT

0[1

3]

H_U

AR

T4_R

X[1

3]H

_UA

RT4

_TX

[13]

H_M

CS

PI1

_SC

LK[1

3]

H_M

CS

PI1

_SIM

O[1

3]H

_MC

SP

I1_C

S0

[13]

H_M

CS

PI1

_CS

1[1

3]H

_MC

SP

I1_C

S2

[13]

H_M

CS

PI1

_CS

3[1

3]

H_M

CS

PI1

_SO

MI

[13]

FM_N

IRQ

[14]

CV

ID_O

UT

[8]

H_G

PM

C_N

WP

[13]

H_G

PM

C_C

LK[1

3]

WLA

N_E

N[1

4]

BT_

EN

[14]

FM_E

N[1

4]

H_G

PIO

_44

[13]

H_G

PIO

_42

[13]

H_G

PIO

_40

[13]

H_G

PIO

_47

[13]

HU

B_N

RE

SE

T[1

1,9]

H_G

PIO

_45

[13]

H_G

PM

C_A

D8

[13]

H_G

PM

C_A

D9

[13]

H_G

PM

C_A

D11

[13]

H_G

PM

C_A

D10

[13]

H_G

PM

C_A

D12

[13]

H_G

PM

C_A

D13

[13]

H_G

PM

C_A

D14

[13]

H_G

PM

C_A

D15

[13]

H_S

DM

MC

2_D

AT0

[13]

H_S

DM

MC

2_D

AT1

[13]

H_S

DM

MC

2_D

AT2

[13]

H_S

DM

MC

2_D

AT3

[13]

H_S

DM

MC

2_D

AT4

[13]

H_S

DM

MC

2_D

AT5

[13]

H_S

DM

MC

2_D

AT6

[13]

H_S

DM

MC

2_D

AT7

[13]

h_G

PIO

_121

[8]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

4430

Sym

bol #

1D

Mon

day,

Nov

embe

r 29,

201

05

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

4430

Sym

bol #

1D

Mon

day,

Nov

embe

r 29,

201

05

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

4430

Sym

bol #

1D

Mon

day,

Nov

embe

r 29,

201

05

14

R13

82.

7KR

138

2.7K

R78

33.0

R78

33.0

R11

54.

7KR

115

4.7K

R12

533

.0R

125

33.0

R12

733

.0R

127

33.0

R11

833

.0R

118

33.0

R12

133

.0R

121

33.0

R12

033

.0R

120

33.0

UX

10

ED

B80

64B

1PB

-8D

-F

111-

1003

122

8Gb

PO

P M

EM

OR

Y (M

OU

NT

ON

TO

P O

F O

MA

P44

30)

UX

10

ED

B80

64B

1PB

-8D

-F

111-

1003

122

8Gb

PO

P M

EM

OR

Y (M

OU

NT

ON

TO

P O

F O

MA

P44

30)

R11

733

.0R

117

33.0

OM

AP44

30 G

P,

12x1

2mm

, FC

POP1

(5

47 B

otto

m B

alls

, 0.4

mm

Pitc

h, 2

16 T

op P

ads)

U10

AO

MA

P44

30

OM

AP44

30 G

P,

12x1

2mm

, FC

POP1

(5

47 B

otto

m B

alls

, 0.4

mm

Pitc

h, 2

16 T

op P

ads)

U10

AO

MA

P44

30

DS

I2_D

X2

V3

DS

I2_D

Y2

V4

AB

E_M

cBS

P2_

DX

/McS

PI2

_SIM

O/A

BE

_MC

AS

P_A

MU

TE/G

PIO

_112

/US

BB

2_M

M_R

XR

CV

/SA

FE_M

OD

EA

D25

SD

MM

C5_

CM

D/M

CS

PI2

_SIM

O/U

SB

C1_

ICU

SB

_DM

/GP

IO_1

46/S

DM

MC

2_C

MD

/SA

FE_M

OD

EA

F5S

DM

MC

5_C

LK/M

CS

PI2

_CLK

/US

BC

1_IC

US

B_D

P/G

PIO

_145

/SD

MM

C2_

CLK

/SA

FE_M

OD

EA

E5

CS

I21_

DX

0/G

PI_

67/S

AFE

_MO

DE

R26

CS

I21_

DY

0/G

PI_

68/S

AFE

_MO

DE

R25

CS

I21_

DX

1/G

PI_

69/S

AFE

_MO

DE

T26

CS

I21_

DY

1/G

PI_

70/S

AFE

_MO

DE

T25

CS

I21_

DX

2/G

PI_

71/S

AFE

_MO

DE

U26

CS

I21_

DY

2/G

PI_

72/S

AFE

_MO

DE

U25

CS

I21_

DX

3/G

PI_

73/S

AFE

_MO

DE

V26

CS

I21_

DY

3/G

PI_

74/S

AFE

_MO

DE

V25

CS

I21_

DX

4/G

PI_

75/S

AFE

_MO

DE

W26

CS

I21_

DY

4/G

PI_

76/S

AFE

_MO

DE

W25

AB

E_M

cBS

P1_

CLK

X/A

BE

_SLI

MB

US

1_C

LOC

K/G

PIO

_114

/SA

FE_M

OD

EA

C26

AB

E_M

cBS

P1_

DR

/AB

E_S

LIM

BU

S1_

DA

TA/G

PIO

_115

/SA

FE_M

OD

EA

C25

AB

E_M

cBS

P1_

DX

/SD

MM

C3_

DA

T2/A

BE

_MC

AS

P_A

CLK

X/G

PIO

_116

/SA

FE_M

OD

EA

B25

AB

E_M

cBS

P1_

FSX

/SD

MM

C3_

DA

T3/A

BE

_MC

AS

P_A

MU

TEIN

/GP

IO_1

17/S

AFE

_MO

DE

AC

27

AB

E_P

DM

_UL_

DA

TA/A

BE

_McB

SP

3_D

R/S

AFE

_MO

DE

AG

25

AB

E_P

DM

_DL_

DA

TA/A

BE

_McB

SP

3_D

X/S

AFE

_MO

DE

AF2

5

AB

E_P

DM

_FR

AM

E/A

BE

_McB

SP

3_C

LKX

/SA

FE_M

OD

EA

E25

AB

E_P

DM

_LB

_CLK

/AB

E_M

cBS

P3_

FSX

/SA

FE_M

OD

EA

F26

AB

E_C

LKS

/GP

IO_1

18/S

AFE

_MO

DE

AH

26

AB

E_D

MIC

_CLK

1/G

PIO

_119

/US

BB

2_M

M_T

XS

E0/

UA

RT4

_CTS

/SA

FE_M

OD

EA

E24

AB

E_D

MIC

_DIN

1/G

PIO

_120

/US

BB

2_M

M_T

XD

AT/

UA

RT4

_RTS

/SA

FE_M

OD

EA

F24

AB

E_D

MIC

_DIN

2/S

LIM

BU

S2_

CLO

CK

/AB

E_M

CA

SP

_AX

R/G

PIO

_121

/DM

TIM

ER

11_P

WM

_EV

T/S

AFE

_MO

DE

AG

24

AB

E_D

MIC

_DIN

3/S

LIM

BU

S2_

DA

TA/A

BE

_DM

IC_C

LK2/

GP

IO_1

22/D

MTI

ME

R9_

PW

M_E

VT/

SA

FE_M

OD

EA

H24

US

BB

1_U

LPIT

LL_C

LK/H

SI1

_CA

WA

KE

/GP

IO_8

4/U

SB

B1_

ULP

IPH

Y_C

LK/A

TTIL

A_H

W_D

BG

20/S

AFE

_MO

DE

AE

18

US

BB

1_U

LPIT

LL_S

TP/H

SI1

_CA

DA

TA/M

cBS

P4_

CLK

R/G

PIO

_85/

US

BB

1_U

LPIP

HY

_STP

/US

BB

1_M

M_R

XD

P/A

TTIL

A_H

W_D

BG

21/S

AFE

_MO

DE

AG

19

US

BB

1_U

LPIT

LL_N

XT/

HS

I1_A

CR

EA

DY

/McB

SP

4_FS

X/G

PIO

_87/

US

BB

1_U

LPIP

HY

_NX

T/U

SB

B1_

MM

_RX

DM

/ATT

ILA

_HW

_DB

G23

/SA

FE_M

OD

EA

E19

US

BB

1_U

LPIT

LL_D

AT0

/HS

I1_A

CW

AK

E/M

cBS

P4_

CLK

X/G

PIO

_88/

US

BB

1_U

LPIP

HY

_DA

T0/U

SB

B1_

MM

_TX

EN

/ATT

ILA

_HW

_DB

G24

/SA

FE_M

OD

EA

F18

US

BB

1_U

LPIT

LL_D

AT1

/HS

I1_A

CD

ATA

/McB

SP

4_D

X/G

PIO

_89/

US

BB

1_U

LPIP

HY

_DA

T1/U

SB

B1_

MM

_TX

DA

T/A

TTIL

A_H

W_D

BG

25/S

AFE

_MO

DE

AG

18

US

BB

1_U

LPIT

LL_D

AT4

/DM

TIM

ER

8_P

WM

_EV

T/A

BE

_McB

SP

3_D

R/G

PIO

_92/

US

BB

1_U

LPIP

HY

_DA

T4/A

TTIL

A_H

W_D

BG

28/S

AFE

_MO

DE

AH

17U

SB

B1_

ULP

ITLL

_DA

T3/H

SI1

_CA

RE

AD

Y/G

PIO

_91/

US

BB

1_U

LPIP

HY

_DA

T3/U

SB

B1_

MM

_RX

RC

V/A

TTIL

A_H

W_D

BG

27/S

AFE

_MO

DE

AF1

7

US

BB

1_H

SIC

_DA

TA/G

PIO

_96/

SA

FE_M

OD

EA

F14

US

BB

1_U

LPIT

LL_D

AT7

/DM

TIM

ER

11_P

WM

_EV

T/A

BE

_McB

SP

3_FS

X/G

PIO

_95/

US

BB

1_U

LPIP

HY

_DA

T7/A

BE

_DM

IC_C

LK3/

ATT

ILA

_HW

_DB

G31

/SA

FE_M

OD

EA

G16

US

BB

1_U

LPIT

LL_D

AT6

/DM

TIM

ER

10_P

WM

_EV

T/A

BE

_McB

SP

3_C

LKX

/GP

IO_9

4/U

SB

B1_

ULP

IPH

Y_D

AT6

/AB

E_D

MIC

_DIN

3/A

TTIL

A_H

W_D

BG

30/S

AFE

_MO

DE

AF1

6U

SB

B1_

ULP

ITLL

_DA

T5/D

MTI

ME

R9_

PW

M_E

VT/

AB

E_M

cBS

P3_

DX

/GP

IO_9

3/U

SB

B1_

ULP

IPH

Y_D

AT5

/ATT

ILA

_HW

_DB

G29

/SA

FE_M

OD

EA

E16

US

BB

1_H

SIC

_STR

OB

E/G

PIO

_97/

SA

FE_M

OD

EA

E14

SD

MM

C1_

DA

T1/D

PM

_EM

U17

/GP

IO_1

03/S

AFE

_MO

DE

E2

SD

MM

C1_

DA

T2/D

PM

_EM

U16

/GP

IO_1

04/J

TAG

_TM

S_T

MS

C/S

AFE

_MO

DE

E1

SD

MM

C1_

CM

D/U

AR

T1_R

X/G

PIO

_101

/SA

FE_M

OD

EE

3S

DM

MC

1_C

LK/D

PM

_EM

U19

/GP

IO_1

00/S

AFE

_MO

DE

D2

SD

MM

C1_

DA

T0/D

PM

_EM

U18

/GP

IO_1

02/S

AFE

_MO

DE

E4

SD

MM

C1_

DA

T4/G

PIO

_106

/SA

FE_M

OD

EF3

SD

MM

C1_

DA

T3/D

PM

_EM

U15

/GP

IO_1

05/J

TAG

_TC

K/S

AFE

_MO

DE

F4

UA

RT2

_CTS

/SD

MM

C3_

CLK

/GP

IO_1

23/S

AFE

_MO

DE

AB

26

UA

RT2

_RTS

/SD

MM

C3_

CM

D/G

PIO

_124

/SA

FE_M

OD

EA

B27

UA

RT2

_RX

/SD

MM

C3_

DA

T0/G

PIO

_125

/SA

FE_M

OD

EA

A25

UA

RT2

_TX

/SD

MM

C3_

DA

T1/G

PIO

_126

/SA

FE_M

OD

EA

A26

McS

PI1

_CLK

/GP

IO_1

34/S

AFE

_MO

DE

AF2

2

McS

PI1

_SO

MI/G

PIO

_135

/SA

FE_M

OD

EA

E22

McS

PI1

_SIM

O/G

PIO

_136

/SA

FE_M

OD

EA

G22

McS

PI1

_CS

0/G

PIO

_137

/SA

FE_M

OD

EA

E23

McS

PI1

_CS

1/U

AR

T1_R

X/G

PIO

_138

/SA

FE_M

OD

EA

F23

McS

PI1

_CS

2/U

AR

T1_C

TS/S

LIM

BU

S2_

CLO

CK

/GP

IO_1

39/S

AFE

_MO

DE

AG

23

McS

PI1

_CS

3/U

AR

T1_R

TS/S

LIM

BU

S2_

DA

TA/G

PIO

_140

/SA

FE_M

OD

EA

H23

McS

PI4

_SO

MI/S

DM

MC

4_D

AT0

/KP

D_R

OW

6/G

PIO

_153

/SA

FE_M

OD

EA

F21

McS

PI4

_CS

0/S

DM

MC

4_D

AT3

/KP

D_R

OW

7/G

PIO

_154

/SA

FE_M

OD

EA

E20

UA

RT4

_RX

/SD

MM

C4_

DA

T2/K

PD

_RO

W8/

GP

IO_1

55/S

AFE

_MO

DE

AG

20

UA

RT4

_TX

/SD

MM

C4_

DA

T1/K

PD

_CO

L8/G

PIO

_156

/SA

FE_M

OD

EA

H19

GP

MC

_AD

12/K

PD

_CO

L0/C

2C_D

ATA

11/G

PIO

_36/

SD

MM

C1_

DA

T4C

18

GP

MC

_A18

/KP

D_R

OW

6/C

2C_D

ATA

IN2/

GP

IO_4

2/V

EN

C_6

56_D

ATA

2/S

AFE

_MO

DE

B18

GP

MC

_A17

/KP

D_R

OW

5/C

2C_D

ATA

IN1/

GP

IO_4

1/V

EN

C_6

56_D

ATA

1/S

AFE

_MO

DE

A18

GP

MC

_AD

11/K

PD

_RO

W3/

C2C

_DA

TA12

/GP

IO_3

5/S

DM

MC

1_D

AT3

D17

GP

MC

_AD

10/K

PD

_RO

W2/

C2C

_DA

TA13

/GP

IO_3

4/S

DM

MC

1_D

AT2

C17

GP

MC

_A16

/KP

D_R

OW

4/C

2C_D

ATA

IN0/

GP

IO40

/VE

NC

_656

_DA

TA0

B17

GP

MC

_AD

9/K

PD

_RO

W1/

C2C

_DA

TA14

/GP

IO_3

3/S

DM

MC

1_D

AT1

D16

GP

MC

_AD

8/K

PD

_RO

W0/

C2C

_DA

TA15

/GP

IO_3

2/S

DM

MC

1_D

AT0

C16

GP

MC

_AD

7/S

DM

MC

2_D

AT7

/SD

MM

C2_

CLK

_FD

BK

B16

GP

MC

_AD

6/S

DM

MC

2_D

AT6

/SD

MM

C2_

DIR

_CM

DA

16G

PM

C_A

D5/

SD

MM

C2_

DA

T5/S

DM

MC

2_D

IR_D

AT1

D15

GP

MC

_AD

4/S

DM

MC

2_D

AT4

/SD

MM

C2_

DIR

_DA

T0C

15G

PM

C_A

D3/

SD

MM

C2_

DA

T3D

13G

PM

C_A

D2/

SD

MM

C2_

DA

T2C

13G

PM

C_A

D1/

SD

MM

C2_

DA

T1D

12G

PM

C_A

D0/

SD

MM

C2_

DA

T0C

12

CS

I22_

DX

0/G

PI_

77/S

AFE

_MO

DE

M26

CS

I22_

DY

0/G

PI_

78/S

AFE

_MO

DE

M25

CS

I22_

DX

1/G

PI_

79/S

AFE

_MO

DE

N26

CS

I22_

DY

1/G

PI_

80/S

AFE

_MO

DE

N25

CA

M_S

HU

TTE

R/G

PIO

_81/

SA

FE_M

OD

ET2

7

CA

M_S

TRO

BE

/GP

IO_8

2/S

AFE

_MO

DE

U27

CA

M_G

LOB

ALR

ES

ET/

GP

IO_8

3/S

AFE

_MO

DE

V27

SD

MM

C5_

DA

T2/M

CS

PI2

_CS

1/G

PIO

_149

/SD

MM

C2_

DA

T2/S

AFE

_MO

DE

AG

3S

DM

MC

5_D

AT0

/MC

SP

I2_S

OM

I/US

BC

1_IC

US

B_R

CV

/GP

IO_1

47/S

DM

MC

2_D

AT0

/SA

FE_M

OD

EA

E4

CV

IDE

O_T

VO

UT

B7

CV

IDE

O_V

FBC

7

CV

IDE

O_R

SE

TD

7

GP

MC

_A23

/KP

D_C

OL7

/C2C

_DA

TAIN

7/G

PIO

_47/

VE

NC

_656

_DA

TA7/

SA

FE_M

OD

EB

21G

PM

C_A

22/K

PD

_CO

L6/C

2C_D

ATA

IN6/

GP

IO_4

6/V

EN

C_6

56_D

ATA

6/S

AFE

_MO

DE

A21

GP

MC

_A25

/C2C

_CLK

OU

T1/G

PIO

_49/

SA

FE_M

OD

ED

20G

PM

C_A

24/K

PD

_CO

L8/C

2C_C

LKO

UT0

/GP

IO_4

8/S

AFE

_MO

DE

C20

GP

MC

_A21

/KP

D_C

OL5

/C2C

_DA

TAIN

5/G

PIO

_45/

VE

NC

_656

_DA

TA5/

SA

FE_M

OD

EB

20

GP

MC

_AD

15/K

PD

_CO

L3/C

2C_D

ATA

8/G

PIO

39/S

DM

MC

1_D

AT7

D19

GP

MC

_AD

14/K

PD

_CO

L2/C

2C_D

ATA

9/G

PIO

38/S

DM

MC

1_D

AT6

C19

GP

MC

_A20

/KP

D_C

OL4

/C2C

_DA

TAIN

4/G

PIO

_44/

VE

NC

_656

_DA

TA4/

SA

FE_M

OD

EB

19G

PM

C_A

19/K

PD

_RO

W7/

C2C

_DA

TAIN

3/G

PIO

_43/

VE

NC

_656

_DA

TA3/

SA

FE_M

OD

EA

19

GP

MC

_AD

13/K

PD

_CO

L1/C

2C_D

ATA

10/G

PIO

_37/

SD

MM

C1_

DA

T5D

18

GP

MC

_nC

S1/

C2C

_DA

TAO

UT6

/GP

IO_5

1/S

AFE

_MO

DE

C21

GP

MC

_nC

S2/

KP

D_R

OW

8/C

2C_D

ATA

OU

T7/G

PIO

_52/

SA

FE_M

OD

ED

21

GP

MC

_nC

S3/

GP

MC

_DIR

/C2C

_DA

TAO

UT4

/GP

IO_5

3/S

AFE

_MO

DE

C22

DS

I1_D

X1

N3

DS

I1_D

Y1

N4

DS

I1_D

X2

M3

DS

I1_D

Y2

M4

GP

MC

_CLK

/GP

IO_5

5/S

YS

_nD

MA

RE

Q2/

SD

MM

C1_

CM

DB

22

GP

MC

_nW

E/S

DM

MC

2_C

MD

B12

GP

MC

_nO

E/S

DM

MC

2_C

LKB

11

GP

MC

_nW

P/D

SI1

_TE

0/G

PIO

_54/

SY

S_N

DM

AR

EQ

1C

25

GP

MC

_nA

DV

_ALE

/DS

I1_T

E1/

GP

IO_5

6/S

YS

_ND

MA

RE

Q3/

SD

MM

C1_

CLK

D25

GP

MC

_nB

E1/

C2C

_DA

TAO

UT5

/GP

IO_6

0/S

AFE

_MO

DE

D22

GP

MC

_WA

IT0/

DS

I2_T

E1/

GP

IO_6

1B

26

GP

MC

_WA

IT1/

C2C

_DA

TAO

UT2

/GP

IO_6

2/S

AFE

_MO

DE

B23

DS

I1_D

X0

P3

DS

I1_D

Y0

P4

HD

MI_

CLO

CK

XC

11

HD

MI_

CLO

CK

YD

11

AB

E_M

cBS

P2_

FSX

/McS

PI2

_CS

0/A

BE

_MC

AS

P_A

FSX

/GP

IO_1

13/U

SB

B2_

MM

_TX

EN

/SA

FE_M

OD

EA

C28

AB

E_M

cBS

P2_

DR

/McS

PI2

_SO

MI/A

BE

_MC

AS

P_A

XR

/GP

IO_1

11/U

SB

B2_

MM

_RX

DP

/SA

FE_M

OD

EA

D26

AB

E_M

cBS

P2_

CLK

X/M

cSP

I2_C

LK/A

BE

_MC

AS

P_A

HC

LKX

/GP

IO_1

10/U

SB

B2_

MM

_RX

DM

/SA

FE_M

OD

EA

D27

SD

MM

C5_

DA

T1/U

SB

C1_

ICU

SB

_TX

EN

/GP

IO_1

48/S

DM

MC

2_D

AT1

/SA

FE_M

OD

EA

F4

US

BB

1_U

LPIT

LL_D

AT2

/HS

I1_A

CFL

AG

/McB

SP

4_D

R/G

PIO

_90/

US

BB

1_U

LPIP

HY

_DA

T2/U

SB

B1_

MM

_TX

SE

0/A

TTIL

A_H

W_D

BG

26/S

AFE

_MO

DE

AE

17

US

BB

1_U

LPIT

LL_D

IR/H

SI1

_CA

FLA

G/M

cBS

P4_

FSR

/GP

IO_8

6/U

SB

B1_

ULP

IPH

Y_D

IR/A

TTIL

A_H

W_D

BG

22/S

AFE

_MO

DE

AF1

9

GP

MC

_nC

S0/

GP

IO_5

0/S

YS

_ND

MA

RE

Q0

B25

UA

RT3

_CTS

_RC

TX/U

AR

T1_T

X/G

PIO

_141

/SA

FE_M

OD

EF2

7

UA

RT3

_RTS

_SD

/GP

IO_1

42/S

AFE

_MO

DE

F28

UA

RT3

_RX

_IR

RX

/DM

TIM

ER

8_P

WM

_EV

T/G

PIO

_143

/SA

FE_M

OD

EG

27

UA

RT3

_TX

_IR

TX/D

MTI

ME

R9_

PW

M_E

VT/

GP

IO_1

44/S

AFE

_MO

DE

G28

McS

PI4

_CLK

/SD

MM

C4_

CLK

/KP

D_C

OL6

/GP

IO_1

51/S

AFE

_MO

DE

AE

21

SD

MM

C1_

DA

T5/G

PIO

_107

/SA

FE_M

OD

EF1

SD

MM

C1_

DA

T6/G

PIO

_108

/SA

FE_M

OD

EG

4

SD

MM

C1_

DA

T7/G

PIO

_109

/SA

FE_M

OD

EG

3

SIM

_RE

SE

T/G

PIO

_WK

2/A

TTIL

A_H

W_D

BG

3/S

AFE

_MO

DE

G2

SIM

_IO

/GP

IO_W

K0/

ATT

ILA

_HW

_DB

G1/

SA

FE_M

OD

EH

4

US

BC

1_IC

US

B_D

M/G

PIO

_99/

SA

FE_M

OD

EH

3

HD

MI_

HP

D/G

PIO

_63/

SA

FE_M

OD

EB

9

HD

MI_

CE

C/G

PIO

_64/

SA

FE_M

OD

EB

10

HD

MI_

DD

C_S

CL/

GP

IO_6

5/S

AFE

_MO

DE

A8

HD

MI_

DD

C_S

DA

/GP

IO_6

6/S

AFE

_MO

DE

B8

HD

MI_

DA

TA0X

C10

HD

MI_

DA

TA0Y

D10

US

BC

1_IC

US

B_D

P/G

PIO

_98/

SA

FE_M

OD

EH

2

SIM

_CLK

/GP

IO_W

K1/

ATT

ILA

_HW

_DB

G2/

SA

FE_M

OD

EJ2

SIM

_CD

/GP

IO_W

K3/

ATT

ILA

_HW

_DB

G4/

SA

FE_M

OD

EJ1

SIM

_PW

R_C

TRL/

GP

IO_W

K4/

ATT

ILA

_HW

_DB

G5/

SA

FE_M

OD

EK

1

HD

MI_

DA

TA1X

C9

HD

MI_

DA

TA1Y

D9

HD

MI_

DA

TA2X

C8

HD

MI_

DA

TA2Y

D8

DS

I1_D

X3

L3

DS

I1_D

Y3

L4

DS

I1_D

X4

K3

DS

I1_D

Y4

K4

DS

I2_D

X0

T3

DS

I2_D

Y0

T4

DS

I2_D

X1

U3

DS

I2_D

Y1

U4

SD

MM

C5_

DA

T3/M

CS

PI2

_CS

0/G

PIO

_150

/SD

MM

C2_

DA

T3/S

AFE

_MO

DE

AF3

GP

MC

_NB

E0_

CLE

/DS

I2_T

E0/

GP

IO_5

9C

23

McS

PI4

_SIM

O/S

DM

MC

4_C

MD

/KP

D_C

OL7

/GP

IO_1

52/S

AFE

_MO

DE

AF2

0

R76

33.0

R76

33.0

R11

233

.0R

112

33.0

R12

633

.0R

126

33.0

R11

333

.0R

113

33.0

R12

433

.0R

124

33.0

Page 6: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

JTA

G

US

B1

I2C

Ref

eren

ce C

lock

Popu

late

thes

e re

sist

ors

to c

onne

ct th

e D

SS li

nes

to th

e D

VI tr

ansm

itter

IC @

U2

Popu

late

thes

e re

sist

ors

to c

onne

ct th

e D

SS li

nes

to th

e Ex

pans

ion

Con

nect

ors

@ J

1 &

J4

OM

AP

4430

Sym

bol "

B"

h_FR

EF_

ALT

CLK

_IN

_OM

AP

h_S

YS

BO

OT_

0h_

SY

SB

OO

T_1

h_S

YS

BO

OT_

2h_

SY

SB

OO

T_3

h_S

YS

BO

OT_

4h_

SY

SB

OO

T_5

LT1_

DP

M_E

MU

2

LT1_

DP

M_E

MU

2

LT1_

DS

S_D

0

LT1_

DS

S_D

0LT

1_D

SS

_D0

LT1_

DS

S_D

1

LT1_

DS

S_D

1LT

1_D

SS

_D1

LT1_

DS

S_D

10

LT1_

DS

S_D

10LT

1_D

SS

_D10

LT1_

DS

S_D

11

LT1_

DS

S_D

11LT

1_D

SS

_D11

LT1_

DS

S_D

12

LT1_

DS

S_D

12LT

1_D

SS

_D12

LT1_

DS

S_D

13

LT1_

DS

S_D

13LT

1_D

SS

_D13

LT1_

DS

S_D

14

LT1_

DS

S_D

14LT

1_D

SS

_D14

LT1_

DS

S_D

15

LT1_

DS

S_D

15LT

1_D

SS

_D15

LT1_

DS

S_D

16

LT1_

DS

S_D

16LT

1_D

SS

_D16

LT1_

DS

S_D

17

LT1_

DS

S_D

17LT

1_D

SS

_D17

LT1_

DS

S_D

18

LT1_

DS

S_D

18LT

1_D

SS

_D18

LT1_

DS

S_D

19

LT1_

DS

S_D

19LT

1_D

SS

_D19

LT1_

DS

S_D

2

LT1_

DS

S_D

2LT

1_D

SS

_D2

LT1_

DS

S_D

20

LT1_

DS

S_D

20LT

1_D

SS

_D20

LT1_

DS

S_D

21

LT1_

DS

S_D

21LT

1_D

SS

_D21

LT1_

DS

S_D

22

LT1_

DS

S_D

22LT

1_D

SS

_D22

LT1_

DS

S_D

23

LT1_

DS

S_D

23LT

1_D

SS

_D23

LT1_

DS

S_D

3

LT1_

DS

S_D

3LT

1_D

SS

_D3

LT1_

DS

S_D

4

LT1_

DS

S_D

4LT

1_D

SS

_D4

LT1_

DS

S_D

5

LT1_

DS

S_D

5LT

1_D

SS

_D5

LT1_

DS

S_D

6

LT1_

DS

S_D

6LT

1_D

SS

_D6

LT1_

DS

S_D

7

LT1_

DS

S_D

7LT

1_D

SS

_D7

LT1_

DS

S_D

8

LT1_

DS

S_D

8LT

1_D

SS

_D8

LT1_

DS

S_D

9

LT1_

DS

S_D

9LT

1_D

SS

_D9

LT1_

DS

S_D

EN

LT1_

DS

S_D

EN

LT1_

DS

S_D

EN

LT1_

DS

S_H

SY

NC

LT1_

DS

S_H

SY

NC

LT1_

DS

S_H

SY

NC

LT1_

DS

S_P

CLK

LT1_

DS

S_P

CLK

LT1_

DS

S_P

CLK

LT1_

DS

S_V

SY

NC

LT1_

DS

S_V

SY

NC

LT1_

DS

S_V

SY

NC

EN

_OS

CA

LT1_

OS

CO

UT

h_S

YS

BO

OT_

7h_

SY

SB

OO

T_6

VIO

_1v8

VIO

_1v8

VIO

_1v8

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

VD

D_V

CX

IO

H_S

RI2

C_S

CL

[3]

H_S

RI2

C_S

DA

[3]

H_I

2C4_

SC

L[1

3,14

]H

_I2C

4_S

DA

[13,

14]

H_I

2C1_

SC

L[3

,4]

H_I

2C1_

SD

A[3

,4]

H_A

UD

_PW

RO

N[4

]

H_I

2C3_

SC

L[1

0,13

]H

_I2C

3_S

DA

[10,

13]

H_S

YS

_DR

M_M

SE

C[3

]

H_G

PIO

_WK

8[8

]

H_S

YS

_PW

RR

EQ

[3]

H_S

YS

_NIR

Q2

[4]

H_S

YS

_NIR

Q1

[3]

H_F

RE

F_C

LK1_

OU

T[1

3]

H_U

SB

1_D

M[2

]H

_US

B1_

DP

[2]

H_J

TAG

_TD

O[8

]H

_JTA

G_N

TRS

T[8

]H

_JTA

G_T

MS

[8]

H_J

TAG

_TD

I[8

]H

_JTA

G_T

CK

[8]

H_J

TAG

_RTC

K[8

]

H_I

2C2_

SC

L[1

3]H

_I2C

2_S

DA

[13]

CLK

32K

_MA

IN[3

]

H_D

PM

_EM

U0

[8]

H_S

YS

_NR

ES

WA

RM

[13,

3]

H_D

PM

_EM

U1

[8]

H_S

YS

_NR

ES

PW

RO

N

H_G

PIO

_0[1

1]

H_G

PIO

_WK

7[8

]

HU

B_N

PD

[11,

2]

H_D

SS

_DA

T14

[10]

H_D

SS

_DA

T15

[10]

H_D

SS

_DA

T11

[10]

H_D

SS

_DA

T12

[10]

H_D

SS

_DA

T13

[10]

H_D

SS

_DA

T21

[10]

H_D

SS

_DA

T22

[10]

H_D

SS

_DA

T18

[10]

H_D

SS

_DA

T19

[10]

H_D

SS

_DA

T20

[10]

H_D

SS

_DA

T23

[10]

H_D

SS

_DA

T3[1

0]H

_DS

S_D

AT4

[10]

H_D

SS

_DA

T0[1

0]H

_DS

S_D

AT1

[10]

H_D

SS

_DA

T2[1

0]

H_D

SS

_DA

T8[1

0]H

_DS

S_D

AT9

[10]

H_D

SS

_DA

T5[1

0]H

_DS

S_D

AT6

[10]

H_D

SS

_DA

T7[1

0]

H_D

SS

_DA

T10

[10]

H_D

SS

_DA

T16

[10]

H_D

SS

_DA

T17

[10]

H_D

SS

_HS

YN

C[1

0]H

_DS

S_V

SY

NC

[10]

H_D

SS

_PC

LK[1

0]

H_D

SS

_DE

N[1

0]

EX

P_D

SS

_DA

T14

[13]

EX

P_D

SS

_DA

T15

[13]

EX

P_D

SS

_DA

T11

[13]

EX

P_D

SS

_DA

T12

[13]

EX

P_D

SS

_DA

T13

[13]

EX

P_D

SS

_DA

T21

[13]

EX

P_D

SS

_DA

T22

[13]

EX

P_D

SS

_DA

T18

[13]

EX

P_D

SS

_DA

T19

[13]

EX

P_D

SS

_DA

T20

[13]

EX

P_D

SS

_DA

T23

[13]

EX

P_D

SS

_DA

T3[1

3]E

XP

_DS

S_D

AT4

[13]

EX

P_D

SS

_DA

T0[1

3]E

XP

_DS

S_D

AT1

[13]

EX

P_D

SS

_DA

T2[1

3]

EX

P_D

SS

_DA

T8[1

3]E

XP

_DS

S_D

AT9

[13]

EX

P_D

SS

_DA

T5[1

3]E

XP

_DS

S_D

AT6

[13]

EX

P_D

SS

_DA

T7[1

3]

EX

P_D

SS

_DA

T10

[13]

EX

P_D

SS

_DA

T16

[13]

EX

P_D

SS

_DA

T17

[13]

EX

P_D

SS

_HS

YN

C[1

3]E

XP

_DS

S_V

SY

NC

[13]

EX

P_D

SS

_PC

LK[1

3]

EX

P_D

SS

_DE

N[1

3]H

_DP

M_E

MU

2[1

3]

BO

AR

D_I

D1

[8]

BO

AR

D_I

D2

[8]

H_F

RE

F_A

LTC

LK_I

N_O

MA

P[4

]

US

BB

1_P

HY

_RE

FCLK

[9]

BO

AR

D_I

D0

[8]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

Sym

bol #

2D

Mon

day,

Nov

embe

r 29,

201

06

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

Sym

bol #

2D

Mon

day,

Nov

embe

r 29,

201

06

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

Sym

bol #

2D

Mon

day,

Nov

embe

r 29,

201

06

14

R19

7D

NI

33.0

R19

7D

NI

33.0

R17

7D

NI

33.0

R17

7D

NI

33.0

R131DNI 1K R131DNI 1K

R75DNI 1K R75DNI 1K

R48

33.0

R48

33.0

R13

7D

NI

3.3K

R13

7D

NI

3.3K

R17

8D

NI

33.0

R17

8D

NI

33.0

R19

2D

NI

33.0

R19

2D

NI

33.0

R14

033

.0R

140

33.0

R40

33.0

R40

33.0

R145DNI 1K R145DNI 1K

R69

33.0

R69

33.0

R11

9D

NI

3.3K

R11

9D

NI

3.3K

R18

3D

NI

33.0

R18

3D

NI

33.0

R42

33.0

R42

33.0

R90

3.3K

R90

3.3K

R12

33.

3KR

123

3.3K

R71

33.0

R71

33.0

R17

9D

NI

33.0

R17

9D

NI

33.0

R20

2D

NI

33.0

R20

2D

NI

33.0

R891K R891K

R19

3D

NI

33.0

R19

3D

NI

33.0

R74DNI 1K R74DNI 1K

R19

9D

NI

33.0

R19

9D

NI

33.0

R49

33.0

R49

33.0

R20

3D

NI

33.0

R20

3D

NI

33.0

OM

AP44

30 G

P,

12X1

2MM

, FC

POP1

(5

47 B

OTT

OM

BAL

LS A

T 0.

4MM

PIT

CH

, 216

TO

P BA

LLS)

U10

BO

MA

P44

30

OM

AP44

30 G

P,

12X1

2MM

, FC

POP1

(5

47 B

OTT

OM

BAL

LS A

T 0.

4MM

PIT

CH

, 216

TO

P BA

LLS)

U10

BO

MA

P44

30

SY

S_3

2KA

G7

SY

S_N

RE

SP

WR

ON

AE

7

SY

S_P

WR

_RE

QA

H7

SY

S_N

IRQ

1/S

AFE

_MO

DE

AE

6

SY

S_P

WR

ON

_RE

SE

T_O

UT/

GP

IO_W

K29

/ATT

ILA

_HW

_DB

G11

AG

6

SR

_SC

LA

G9

SR

_SD

AA

F9

HD

Q_S

IO/I2

C3_

SC

CB

/I2C

2_S

CC

B/G

PIO

_127

/SA

FE_M

OD

EA

A27

JTA

G_R

TCK

AE

3

JTA

G_n

TRS

TA

H2

JTA

G_T

MS

_TM

SC

/SA

FE_M

OD

EA

H1

JTA

G_T

DO

AE

2

JTA

G_T

CK

/SA

FE_M

OD

EA

G1

FRE

F_X

TAL_

IN/C

2C_W

AK

ER

EQ

INA

H6

FRE

F_X

TAL_

OU

TA

H5

DP

M_E

MU

18/D

MTI

ME

R10

_PW

M_E

VT/

DS

I2_T

E0/

GP

IO_1

90/R

FBI_

DA

TA1/

DIS

PC

2_D

ATA

1/A

TTIL

A_H

W_D

BG

18/S

AFE

_MO

DE

AB

4

FRE

F_S

LIC

ER

_IN

/GP

I_W

K5/

C2C

_WA

KE

RE

QIN

/SA

FE_M

OD

EA

G8

DP

M_E

MU

4/U

SB

A0_

ULP

IPH

Y_D

IR/G

PIO

_15/

RFB

I_D

ATA

9/D

ISP

C2_

DA

TA9/

ATT

ILA

_HW

_DB

G4/

SA

FE_M

OD

EV

2

DP

M_E

MU

15/S

YS

_SE

CU

RE

_IN

DIC

ATO

R/G

PIO

_26/

RFB

I_D

ATA

4/D

ISP

C2_

DA

TA4/

ATT

ILA

_HW

_DB

G15

/SA

FE_M

OD

EA

A4

DP

M_E

MU

14/S

YS

_DR

M_M

SE

CU

RE

/UA

RT1

_RX

/GP

IO_2

5/R

FBI_

DA

TA5/

DIS

PC

2_D

ATA

5/A

TTIL

A_H

W_D

BG

14/S

AFE

_MO

DE

AA

3

DP

M_E

MU

16/D

MTI

ME

R8_

PW

M_E

VT/

DS

I1_T

E0/

GP

IO_2

7/R

FBI_

DA

TA3/

DIS

PC

2_D

ATA

3/A

TTIL

A_H

W_D

BG

16/S

AFE

_MO

DE

AB

2

DP

M_E

MU

17/D

MTI

ME

R9_

PW

M_E

VT/

DS

I1_T

E1/

GP

IO_2

8/R

FBI_

DA

TA2/

DIS

PC

2_D

ATA

2/A

TTIL

A_H

W_D

BG

17/S

AFE

_MO

DE

AB

3

DP

M_E

MU

13/U

SB

A0_

ULP

IPH

Y_D

AT7

/GP

IO_2

4/R

FBI_

DA

TA6/

DIS

PC

2_D

ATA

6/A

TTIL

A_H

W_D

BG

13/S

AFE

_MO

DE

AA

2D

PM

_EM

U12

/US

BA

0_U

LPIP

HY

_DA

T6/G

PIO

_23/

RFB

I_D

ATA

7/D

ISP

C2_

DA

TA7/

ATT

ILA

_HW

_DB

G12

/SA

FE_M

OD

EA

A1

DP

M_E

MU

11/U

SB

A0_

ULP

IPH

Y_D

AT5

/GP

IO_2

2/R

FBI_

DA

TA8/

DIS

PC

2_D

ATA

8/A

TTIL

A_H

W_D

BG

11/S

AFE

_MO

DE

Y4

DP

M_E

MU

10/U

SB

A0_

ULP

IPH

Y_D

AT4

/GP

IO_2

1/R

FBI_

A0/

DIS

PC

2_D

E/A

TTIL

A_H

W_D

BG

10/S

AFE

_MO

DE

Y3

FRE

F_C

LK_I

OR

EQ

AD

1

FRE

F_C

LK1_

OU

T/G

PIO

_181

/SA

FE_M

OD

EA

A28

FRE

F_C

LK2_

OU

T/G

PIO

_182

/SA

FE_M

OD

EY

28

FRE

F_C

LK3_

RE

Q/F

RE

F_C

LK1_

RE

Q/S

YS

_DR

M_M

SE

CU

RE

/GP

IO_W

K30

/C2C

_WA

KE

RE

QIN

/SD

MM

C2_

DA

T4/A

TTIL

A_H

W_D

BG

7/S

AFE

_MO

DE

AD

3

I2C

1_S

CL

AE

28

I2C

1_S

DA

AE

26

JTA

G_T

DI

AE

1

FRE

F_C

LK4_

OU

T/G

PIO

_WK

8/A

TTIL

A_H

W_D

BG

10A

C3

DP

M_E

MU

8/U

SB

A0_

ULP

IPH

Y_D

AT2

/UA

RT3

_RTS

_SD

/GP

IO_1

9/R

FBI_

RE

/DIS

PC

2_P

CLK

/ATT

ILA

_HW

_DB

G8/

SA

FE_M

OD

EW

4

DP

M_E

MU

9/U

SB

A0_

ULP

IPH

Y_D

AT3

/UA

RT3

_CTS

_RC

TX/G

PIO

_20/

RFB

I_W

E/D

ISP

C2_

VS

YN

C/A

TTIL

A_H

W_D

BG

9/S

AFE

_MO

DE

Y2

SY

S_N

RE

SW

AR

MA

F7

DP

M_E

MU

7/U

SB

A0_

ULP

IPH

Y_D

AT1

/UA

RT3

_RX

_IR

RX

/GP

IO_1

8/R

FBI_

CS

0/D

ISP

C2_

HS

YN

C/A

TTIL

A_H

W_D

BG

7/S

AFE

_MO

DE

W3

DP

M_E

MU

6/U

SB

A0_

ULP

IPH

Y_D

AT0

/UA

RT3

_TX

_IR

TX/G

PIO

_17/

RFB

I_H

SY

NC

0/D

ISP

C2_

DA

TA17

/ATT

ILA

_HW

_DB

G6/

SA

FE_M

OD

EW

2

I2C

2_S

CL/

UA

RT1

_RX

/GP

IO_1

28/S

AFE

_MO

DE

C26

I2C

2_S

DA

/UA

RT1

_TX

/GP

IO_1

29/S

AFE

_MO

DE

D26

DP

M_E

MU

19/D

MTI

ME

R11

_PW

M_E

VT/

DS

I2_T

E1/

GP

IO_1

91/R

FBI_

DA

TA0/

DIS

PC

2_D

ATA

0/A

TTIL

A_H

W_D

BG

19/S

AFE

_MO

DE

AC

4

DP

M_E

MU

3/U

SB

A0_

ULP

IPH

Y_S

TP/G

PIO

_14/

RFB

I_D

ATA

10/D

ISP

C2_

DA

TA10

/ATT

ILA

_HW

_DB

G3/

SA

FE_M

OD

EV

1

DP

M_E

MU

5/U

SB

A0_

ULP

IPH

Y_N

XT/

GP

IO_1

6/R

FBI_

TE_V

SY

NC

0/D

ISP

C2_

DA

TA16

/ATT

ILA

_HW

_DB

G5/

SA

FE_M

OD

EW

1

I2C

3_S

CL/

GP

IO_1

30/S

AFE

_MO

DE

W27

I2C

3_S

DA

/GP

IO_1

31/S

AFE

_MO

DE

Y27

I2C

4_S

CL/

GP

IO_1

32/S

AFE

_MO

DE

AG

21

I2C

4_S

DA

/GP

IO_1

33/S

AFE

_MO

DE

AH

22

FRE

F_C

LK4_

RE

Q/F

RE

F_C

LK5_

OU

T/G

PIO

_WK

7/S

DM

MC

2_D

AT6

/ATT

ILA

_HW

_DB

G9

AC

2

FRE

F_C

LK0_

OU

T/FR

EF_

CLK

1_R

EQ

/SY

S_D

RM

_MS

EC

UR

E/G

PIO

_WK

6/S

DM

MC

2_D

AT7

/ATT

ILA

_HW

_DB

G6/

SA

FE_M

OD

EA

D2

FRE

F_C

LK3_

OU

T/FR

EF_

CLK

2_R

EQ

/SY

S_S

EC

UR

E_I

ND

ICA

TOR

/GP

IO_W

K31

/C2C

_WA

KE

RE

QO

UT/

SD

MM

C2_

DA

T5/A

TTIL

A_H

W_D

BG

8/S

AFE

_MO

DE

AD

4

SY

S_N

IRQ

2/G

PIO

_183

/SA

FE_M

OD

EA

F6

ATE

STV

A27

GP

MC

_WA

IT2/

US

BC

1_IC

US

B_T

XE

N/C

2C_D

ATA

OU

T3/G

PIO

_100

/SY

S_N

DM

AR

EQ

0/S

AFE

_MO

DE

D23

GP

MC

_nC

S4/

DS

I1_T

E0/

C2C

_CLK

IN0/

GP

IO_1

01/S

YS

_ND

MA

RE

Q1/

SA

FE_M

OD

EA

24

GP

MC

_nC

S5/

DS

I1_T

E1/

C2C

_CLK

IN1/

GP

IO_1

02/S

YS

_ND

MA

RE

Q2/

SA

FE_M

OD

EB

24

GP

MC

_nC

S6/

DS

I2_T

E0/

C2C

_DA

TAO

UT0

/GP

IO_1

03/S

YS

_ND

MA

RE

Q3/

SA

FE_M

OD

EC

24

FRE

F_X

TAL_

VS

SO

SC

AG

5

GP

MC

_nC

S7/

DS

I2_T

E1/

C2C

_DA

TAO

UT1

/GP

IO_1

04/S

AFE

_MO

DE

D24

US

BB

2_H

SIC

_STR

OB

E/G

PIO

_170

/SA

FE_M

OD

EA

E13

US

BB

2_H

SIC

_DA

TA/G

PIO

_169

/SA

FE_M

OD

EA

F13

US

BB

2_U

LPIT

LL_D

AT7

/US

BB

2_U

LPIP

HY

_DA

T7/S

DM

MC

3_C

LK/G

PIO

_168

/McS

PI3

_CLK

/DIS

PC

2_D

ATA

11/R

FBI_

DA

TA11

/SA

FE_M

OD

EA

E9

US

BB

2_U

LPIT

LL_D

AT6

/US

BB

2_U

LPIP

HY

_DA

T6/S

DM

MC

3_C

MD

/GP

IO_1

67/M

cSP

I3_S

IMO

/DIS

PC

2_D

ATA

12/R

FBI_

DA

TA12

/SA

FE_M

OD

EA

G10

US

BB

2_U

LPIT

LL_D

AT5

/US

BB

2_U

LPIP

HY

_DA

T5/S

DM

MC

3_D

AT3

/GP

IO_1

66/M

cSP

I3_C

S0/

DIS

PC

2_D

ATA

13/R

FBI_

DA

TA13

/SA

FE_M

OD

EA

F10

US

BB

2_U

LPIT

LL_D

AT4

/US

BB

2_U

LPIP

HY

_DA

T4/S

DM

MC

3_D

AT0

/GP

IO_1

65/M

cSP

I3_S

OM

I/DIS

PC

2_D

ATA

14/R

FBI_

DA

TA14

/SA

FE_M

OD

EA

E10

US

BB

2_U

LPIT

LL_D

AT3

/US

BB

2_U

LPIP

HY

_DA

T3/S

DM

MC

3_D

AT1

/GP

IO_1

64/H

SI2

_CA

RE

AD

Y/D

ISP

C2_

DA

TA15

/RFB

I_D

ATA

15/S

AFE

_MO

DE

AH

11

US

BB

2_U

LPIT

LL_D

AT2

/US

BB

2_U

LPIP

HY

_DA

T2/S

DM

MC

3_D

AT2

/GP

IO_1

63/H

SI2

_AC

FLA

G/D

ISP

C2_

DA

TA18

/US

BB

2_M

M_T

XS

E0/

SA

FE_M

OD

EA

G11

US

BB

2_U

LPIT

LL_D

AT1

/US

BB

2_U

LPIP

HY

_DA

T1/S

DM

MC

4_D

AT3

/GP

IO_1

62/H

SI2

_AC

DA

TA/D

ISP

C2_

DA

TA19

/US

BB

2_TX

DA

T/S

AFE

_MO

DE

AF1

1

US

BB

2_U

LPIT

LL_D

AT0

/US

BB

2_U

LPIP

HY

_DA

T0/S

DM

MC

4_D

AT2

/GP

IO_1

61/H

SI2

_AC

WA

KE

/DIS

PC

2_D

ATA

20/U

SB

B2_

MM

_TX

EN

/SA

FE_M

OD

EA

E11

US

BB

2_U

LPIT

LL_N

XT/

US

BB

2_U

LPIP

HY

_NX

T/S

DM

MC

4_D

AT1

/GP

IO_1

60/H

SI2

_AC

RE

AD

Y/D

ISP

C2_

DA

TA21

/SA

FE_M

OD

EA

G13

US

BB

2_U

LPIT

LL_D

IR/U

SB

B2_

ULP

IPH

Y_D

IR/S

DM

MC

4_D

AT0

/GP

IO_1

59/H

SI2

_CA

FLA

G/D

ISP

C2_

DA

TA22

/SA

FE_M

OD

EA

E12

US

BB

2_U

LPIT

LL_S

TP/U

SB

B2_

ULP

IPH

Y_S

TP/S

DM

MC

4_C

LK/G

PIO

_158

/HS

I2_C

AD

ATA

/DIS

PC

2_D

ATA

23/S

AFE

_MO

DE

AF1

2

US

BB

2_U

LPIT

LL_C

LK/U

SB

B2_

ULP

IPH

Y_C

LK/S

DM

MC

4_C

MD

/GP

IO_1

57/H

SI2

_CA

WA

KE

/SA

FE_M

OD

EA

G12

US

BA

0_O

TG_D

M/U

AR

T3_T

X_I

RTX

/UA

RT2

_TX

/SA

FE_M

OD

EB

4

US

BA

0_O

TG_D

P/U

AR

T3_R

X_I

RR

X/U

AR

T2_R

X/S

AFE

_MO

DE

B5

US

BA

0_O

TG_C

EC

3

KP

D_R

OW

2/K

PD

_RO

W5/

GP

IO_3

/SA

FE_M

OD

EK

27

KP

D_R

OW

1/K

PD

_RO

W4/

GP

IO_2

/SA

FE_M

OD

EL2

7

KP

D_R

OW

0/K

PD

_RO

W3/

GP

IO_1

78/S

AFE

_MO

DE

K25

KP

D_R

OW

5/K

PD

_RO

W2/

GP

IO_1

77/S

AFE

_MO

DE

K26

KP

D_R

OW

4/K

PD

_RO

W1/

GP

IO_1

76/S

AFE

_MO

DE

J25

KP

D_R

OW

3/K

PD

_RO

W0/

GP

IO_1

75/S

AFE

_MO

DE

J26

KP

D_C

OL2

/KP

D_C

OL5

/GP

IO_1

/SA

FE_M

OD

EH

27

KP

D_C

OL1

/KP

D_C

OL4

/GP

IO_0

/SA

FE_M

OD

EJ2

7

KP

D_C

OL0

/KP

D_C

OL3

/GP

IO_1

74/S

AFE

_MO

DE

H25

KP

D_C

OL5

/KP

D_C

OL2

/GP

IO_1

73/S

AFE

_MO

DE

H26

KP

D_C

OL4

/KP

D_C

OL1

/GP

IO_1

72/S

AFE

_MO

DE

G25

KP

D_C

OL3

/KP

D_C

OL0

/GP

IO_1

71/S

AFE

_MO

DE

G26

SY

S_B

OO

T0/G

PIO

_184

/SA

FE_M

OD

EF2

6

SY

S_B

OO

T1/G

PIO

_185

/SA

FE_M

OD

EE

27

SY

S_B

OO

T2/G

PIO

_186

/SA

FE_M

OD

EE

26

SY

S_B

OO

T3/G

PIO

_187

/SA

FE_M

OD

EE

25

SY

S_B

OO

T4/G

PIO

_188

/SA

FE_M

OD

ED

28

SY

S_B

OO

T5/G

PIO

_189

/SA

FE_M

OD

ED

27

SY

SB

OO

T6/D

PM

_EM

U18

/GP

IO_W

K9/

C2C

_WA

KE

RE

QO

UT/

ATT

ILA

_HW

_DB

G12

/SA

FE_M

OD

EA

F8

SY

SB

OO

T7/D

PM

_EM

U19

/GP

IO_W

K10

/ATT

ILA

_HW

_DB

G13

/SA

FE_M

OD

EA

E8

DP

M_E

MU

0/G

PIO

_11/

ATT

ILA

_HW

_DB

G0/

SA

FE_M

OD

EM

2

DP

M_E

MU

1/G

PIO

_12/

ATT

ILA

_HW

_DB

G1/

SA

FE_M

OD

EN

2

DP

M_E

MU

2/U

SB

A0_

ULP

IPH

Y_C

LK/G

PIO

_13/

DIS

PC

2_FI

D/A

TTIL

A_H

W_D

BG

2/S

AFE

_MO

DE

P2

R19

1D

NI

33.0

R19

1D

NI

33.0

R83

33.0

R83

33.0

R13

6D

NI

3.3K

R13

6D

NI

3.3K

R18

9D

NI

33.0

R18

9D

NI

33.0

R41

33.0

R41

33.0

C12

60.

01uF

C12

60.

01uF

R17

6D

NI

33.0

R17

6D

NI

33.0

R20

1D

NI

33.0

R20

1D

NI

33.0

R19

0D

NI

33.0

R19

0D

NI

33.0

R51

33.0

R51

33.0

R88

3.3K

DN

IR

883.

3KD

NI

R85

33.0

R85

33.0

R14

410

KD

NI

R14

410

KD

NI

R19

4D

NI

33.0

R19

4D

NI

33.0

R52

33.0

R52

33.0

R10

23.

3KR

102

3.3K

R72

33.0

R72

33.0

R46

33.0

R46

33.0

R105DNI 1K R105DNI 1KR103DNI 1K R103DNI 1K

R19

8D

NI

33.0

R19

8D

NI

33.0

R64

33.0

R64

33.0

R10110KDNI R10110KDNI

R66

33.0

R66

33.0

R130DNI 1K R130DNI 1K

R68

33.0

R68

33.0

C14

40.

1uF

C14

40.

1uF

R84

33.0

R84

33.0

R18

0D

NI

33.0

R18

0D

NI

33.0

R97

3.3K

DN

IR

973.

3KD

NI

Y3

7C38

4700

04

Y3

7C38

4700

04OU

T3

EN

1G

ND

2V

DD

4

R67

33.0

R67

33.0

R19

5D

NI

33.0

R19

5D

NI

33.0

R12

83.

3KR

128

3.3K

R19

6D

NI

33.0

R19

6D

NI

33.0

R18

5D

NI

33.0

R18

5D

NI

33.0

R18

2D

NI

33.0

R18

2D

NI

33.0

R50

33.0

R50

33.0

R65

33.0

R65

33.0

R13

5D

NI

3.3K

R13

5D

NI

3.3K

R18

1D

NI

33.0

R18

1D

NI

33.0

R82

33.0

R82

33.0

R43

33.0

R43

33.0

R951K R951K

R45

33.0

R45

33.0

R79

10K

R79

10K

R17

5D

NI

33.0

R17

5D

NI

33.0

R18

6D

NI

33.0

R18

6D

NI

33.0

R81

33.0

R81

33.0

R73

33.0

R73

33.0

R70

33.0

R70

33.0

R18

4D

NI

33.0

R18

4D

NI

33.0

R146DNI 1K R146DNI 1K

R53

33.0

R53

33.0

R20

0D

NI

33.0

R20

0D

NI

33.0

R18

7D

NI

33.0

R18

7D

NI

33.0

R80

33.0

R80

33.0

R18

8D

NI

33.0

R18

8D

NI

33.0

Page 7: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

OM

AP

4430

Sym

bol "

C"

LPD

DR

21_Z

QLP

DD

R22

_ZQ

OM

AP

4430

_IFO

RC

EO

MA

P44

30_V

SE

NS

E

OM

AP

_CA

P_P

WR

1O

MA

P_C

AP

_PW

R2

OM

AP

_CA

P_P

WR

3O

MA

P_C

AP

_PW

R4

OM

AP

_CA

P_P

WR

5O

MA

P_C

AP

_PW

R6

VD

D_V

CX

IO

VD

D_V

1V29

VD

D_V

1V29

VD

D_V

CX

IO

VD

D_V

CX

IO

VIO

_1v8

VIO

_1v8

VIO

_1v8

VIO

_1v8

VD

D_V

1V29

VD

D_V

CX

IO

VD

D_V

CO

RE

1

VD

D_V

1V29

VD

D_V

CO

RE

3

VD

D_V

PPVD

D_V

1V29

VD

D_V

CX

IO

VD

D_V

CX

IO

VIO

_1v8

VD

D_M

MC

1

VD

D_V

US

B

VD

D_V

1V29

VIO

_1v8

VD

D_V

DA

C

VD

D_V

ME

M

VIO

_1v8

VIO

_1v8

VD

D_V

CO

RE

2

VD

D_V

CO

RE

3

VIO

_1v8

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

Sym

bol #

3D

Mon

day,

Nov

embe

r 29,

201

07

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

Sym

bol #

3D

Mon

day,

Nov

embe

r 29,

201

07

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

OM

AP

Sym

bol #

3D

Mon

day,

Nov

embe

r 29,

201

07

14

TP9

TP9

C93

0.1u

FC

930.

1uF

C57

0.1u

FC

570.

1uF

C94

0.1u

FC

940.

1uF

C79

0.1u

FC

790.

1uF

C13

80.

1uF

C13

80.

1uF

C14

322

0nF

C14

322

0nF

C86

470n

FC

8647

0nF

C69

0.1u

FC

690.

1uF

C44

0.1u

FC

440.

1uF

C36

0.1u

FC

360.

1uF

C54

0.1u

FC

540.

1uF

C11

30.

1uF

C11

30.

1uF

C13

50.

1uF

C13

50.

1uF

C37

470n

FC

3747

0nF

C95

0.1u

FC

950.

1uF

C63

0.1u

FC

630.

1uF

C12

70.

1uF

C12

70.

1uF

C12

247

0nF

C12

247

0nF

C73

470n

FC

7347

0nF

C14

147

0nF

C14

147

0nF

C41

0.1u

FC

410.

1uF

C21

70.

1uF

C21

70.

1uF

C85

0.1u

FC

850.

1uF

C70

0.1u

FC

700.

1uF

C62

0.1u

FC

620.

1uF

TP11

TP11

C40

0.1u

FC

400.

1uF

C13

747

0nF

C13

747

0nF

C13

90.

1uF

C13

90.

1uF

C10

01U

FC

100

1UFC11

90.

1uF

C11

90.

1uF

C48

0.1u

FC

480.

1uF

C82

22uF

4VC82

22uF

4V

C11

20.

1uF

C11

20.

1uF

C72

220n

FC

7222

0nF

C10

80.

1uF

C10

80.

1uF

C84

0.1u

FC

840.

1uF

C12

30.

1uF

C12

30.

1uF

C38

0.1u

FC

380.

1uF

C12

80.

1uF

C12

80.

1uF

R87

240

R87

240

C90

1UF

C90

1UF

C60

470n

FC

6047

0nF

R77

240

R77

240

C45

1UF

C45

1UF

C83

0.1u

FC

830.

1uF

C42

0.1u

FC

420.

1uF

C13

40.

1uF

C13

40.

1uF

C10

20.

1uF

C10

20.

1uF

C46

0.1u

FC

460.

1uF

C43

1UF

C43

1UF

C14

00.

1uF

C14

00.

1uF

C59

0.1u

FC

590.

1uF

C80

0.1u

FC

800.

1uF

C61

0.1u

FC

610.

1uF

C39

470n

FC

3947

0nF

C49

0.1u

FC

490.

1uF

C77

0.1u

FC

770.

1uF

C47

0.1u

FC

470.

1uF

C89

0.1u

FC

890.

1uF

C58

0.1u

FC

580.

1uF

OM

AP44

30 G

P,

12X1

2MM

, FC

POP1

(5

47 B

OTT

OM

BAL

LS A

T 0.

4MM

PIT

CH

, 216

TO

P BA

LLS)

U10

CO

MA

P44

30

OM

AP44

30 G

P,

12X1

2MM

, FC

POP1

(5

47 B

OTT

OM

BAL

LS A

T 0.

4MM

PIT

CH

, 216

TO

P BA

LLS)

U10

CO

MA

P44

30

VD

D_M

PU

V8

VD

D_M

PU

W8

VD

D_M

PU

W9

VD

D_M

PU

Y8

VD

D_M

PU

Y10

VD

D_M

PU

AA

10

VD

D_C

OR

EM

20

VSSN9

VD

D_C

OR

EN

20

VD

D_C

OR

ER

20

VD

D_C

OR

ET2

0

VD

D_C

OR

EU

20

VD

D_C

OR

EH

12

VD

D_C

OR

EH

18

VD

DQ

_VR

EF_

LPD

DR

22T8

VD

D_C

OR

EJ9

VD

D_C

OR

EJ1

1

VD

D_C

OR

EJ1

2

VD

D_C

OR

EJ1

3

VD

D_C

OR

EJ1

5

VD

D_C

OR

EJ1

6

VD

D_C

OR

EJ1

7

VD

D_C

OR

EJ1

8

VD

D_C

OR

EJ1

9

VD

D_C

OR

EJ2

0

VD

D_C

OR

EK

9

VD

D_C

OR

EK

20

VD

D_C

OR

EL9

VD

D_C

OR

EL2

0

VD

D_C

OR

EM

9

VD

D_M

PU

V9

VD

D_I

VA

_AU

DIO

V21

VD

D_I

VA

_AU

DIO

W20

VD

D_I

VA

_AU

DIO

W21

VD

D_I

VA

_AU

DIO

Y18

VD

D_I

VA

_AU

DIO

Y19

VD

D_I

VA

_AU

DIO

Y20

VD

D_I

VA

_AU

DIO

Y21

VD

D_I

VA

_AU

DIO

AA

19

VD

DQ

_LP

DD

R2

A17

VD

DQ

_LP

DD

R2

A22

VD

DQ

_LP

DD

R2

A25

VD

DQ

_LP

DD

R2

D1

VD

DQ

_LP

DD

R2

E28

VD

DQ

_LP

DD

R2

G1

VD

DQ

_LP

DD

R2

G8

VD

DQ

_LP

DD

R2

G21

VD

DQ

_LP

DD

R2

H8

VD

DQ

_LP

DD

R2

H21

VD

DQ

_LP

DD

R2

H9

VD

DQ

_LP

DD

R2

H20

VD

DQ

_LP

DD

R2

AA

8

VD

DS

_1P

8K

7

VSSK8

VD

DQ

_LP

DD

R2

L28

VD

DA

_DLL

0_LP

DD

R22

M7

VD

DQ

_LP

DD

R2

Y1

VD

DQ

_LP

DD

R2

AA

9

VD

DQ

_LP

DD

R2

AB

9

VD

DQ

_LP

DD

R2

AF1

VD

DQ

_LP

DD

R2

AH

4

VD

DQ

_LP

DD

R2

AH

9

VD

DQ

_LP

DD

R2

A4

VD

DQ

_LP

DD

R2

A9

VD

DQ

_LP

DD

R2

AH

12

VSSU17

VSSA10

VSSA20

VSSA23

VSSB3

VSSB6

VSSB13

VSSB27

VSSF2VSSC2

VSST17

VSSF25

VSSH11

VSSH17

VSSH19

VSSH28

VSSJ3

VSSJ4

VSSH1

VSSU13

VSSU14

VSSJ21

VSSL26

VSSM1

VD

DQ

_LP

DD

R2

M8

VSSM21

VSSP16

VSST15

VSST16

VSSU2

VSSU8

VSSU12

VSSU15

VSSU16

VSSU21

VSSU28

VSSY25

VSSY26

VSSAA11

VD

D_I

VA

_AU

DIO

AA

18

VD

DC

A_L

PD

DR

2A

A21

VSSAB1

VSSAA12

VSSAG4

VSSAG17

VSSAG26

VSSAH8

VSSAH10

VSSAH13

VD

DQ

_LP

DD

R2

U1

PO

P_V

DD

1_LP

DD

R2_

SH

AR

ED

_AH

28A

G27

VD

D_C

OR

EJ1

0

VD

DQ

_LP

DD

R2

AC

1

VD

DC

A_V

RE

F_LP

DD

R21

Y14

VSSY17

VD

D_C

OR

EA

A13

VD

DC

A_V

RE

F_LP

DD

R22

R27

VD

DC

A_L

PD

DR

2T2

8

VD

DS

_1P

8W

22

VP

P_S

TDY

22

VSSAA20

VD

DS

_DV

_BA

NK

1A

B20

VD

DC

A_L

PD

DR

2A

B28

VD

DC

A_L

PD

DR

2A

D28

VD

DC

A_L

PD

DR

2A

H20

VD

DC

A_L

PD

DR

2A

H25

PO

P_V

DD

1_LP

DD

R21

_A15

A13

PO

P_V

DD

1_LP

DD

R21

_C28

C27

PO

P_V

DD

1_LP

DD

R22

_N2

N1

PO

P_V

DD

1_LP

DD

R22

_R1

P1

PO

P_V

DD

1_LP

DD

R21

_AJ1

5A

H14

PO

P_V

DD

1_LP

DD

R2_

SH

AR

ED

_C1

C1

PO

P_V

DD

1_LP

DD

R2_

SH

AR

ED

_AH

2A

G2

VD

DQ

_LP

DD

R2

A6

VD

DQ

_LP

DD

R2

A12

VD

DQ

_LP

DD

R2

J28

RS

VD

3C

6R

SV

D2

C5

RS

VD

1C

4

VD

DS

_DV

_SD

MM

C2

H16

RS

VD

12M

27R

SV

D11

K22

RS

VD

10K

21

NC

3B

28

RS

VD

13N

27

NC

2B

1N

C1

A28

RS

VD

4D

3

IFO

RC

EA

H27

RS

VD

14T2

1

VD

DA

_DP

LL_I

VA

_PE

RY

16V

DD

A_D

PLL

_MP

UP

9

VSSH13

CA

P_V

DD

_LD

O_S

RA

M_C

OR

EU

22

VD

DA

_LD

O_S

RA

M_M

PU

AB

14

PB

IAS

_SD

MM

C1

A1

PB

IAS

_SIM

A2

VD

DA

_LD

O_S

RA

M_C

OR

ET2

2

VD

DS

_1P

2VA

A16

VD

DA

_CS

I21

W28

VD

DA

_CS

I22

V28

VD

DA

_LD

O_S

RA

M_I

VA

_AU

DIO

N22

CA

P_V

DD

_LD

O_S

RA

M_I

VA

_AU

DIO

N21

VD

DA

_LD

O_E

MU

_WK

UP

P7

VD

DA

_DS

I1L1

VD

DA

_DS

I2L2

VD

DA

_HD

MI_

VD

AC

A11

VSSA_DSIP8

CA

P_V

BB

_LD

O_I

VA

_AU

DIO

R21

VD

DS

_DV

_BA

NK

0A

B16

VD

DS

_DV

_BA

NK

7M

28

VD

DA

_US

BA

0OTG

_1P

8VA

7

VD

DA

_US

BA

0OTG

_3P

3VA

5

VD

DA

_BD

GP

_VB

BA

B12

VD

DC

A_L

PD

DR

2A

A22

VD

DS

_1P

8J2

2

VD

DS

_1P

8U

7

VD

DS

_1P

8V

7

CA

P_V

BB

_LD

O_M

PU

AB

13

VD

DS

_DV

_BA

NK

2A

B19

VD

DC

A_L

PD

DR

2A

B21

VD

DS

_DV

_BA

NK

2A

B8

VD

DS

_DV

_BA

NK

3A

B18

VD

DS

_DV

_BA

NK

4A

A7

VD

DS

_DV

_BA

NK

5A

B17

CA

P_V

DD

_LD

O_S

RA

M_M

PU

AB

11

VD

DS

_DV

_CA

MV

22

VD

DS

_DV

_FR

EF

W7

VD

DS

_1P

8_FR

EF

Y7

VD

DS

_DV

_C2C

G18

VD

DS

_DV

_GP

MC

G20

VD

DS

_DV

_C2C

G17

VD

DQ

_VR

EF_

LPD

DR

21G

15

VD

DS

_DV

_SD

MM

C2

G16

VD

DS

_SD

MM

C1

H7

VD

DS

_SIM

J7

VD

DS

_SD

MM

C1

G7

VP

P_C

US

TJ8

VS

EN

SE

AG

28

VD

DS

_DV

_BA

NK

6A

A14

VSSA_CSI2R22

VSSA_DSIN8

RS

VD

9N

7

VSSA_HDMI_VDACG11

RS

VD

8L2

2

VSSA_USBA0OTGH10

VSSA_USBA0OTG_3P3VG10

VSST14VSST13VSST12VSSR17VSSR16VSSR15VSSR14VSSR13VSSR12VSSP17

VSSAF2

PO

P_V

DD

2_LP

DD

R21

_A16

A15

PO

P_V

DD

2_LP

DD

R21

_B16

B15

PO

P_V

DD

2_LP

DD

R22

_P28

N28

PO

P_V

DD

2_LP

DD

R22

_T1

T1

PO

P_V

DD

2_LP

DD

R22

_T2

T2

PO

P_V

DD

2_LP

DD

R2_

SH

AR

ED

_A3

A3

PO

P_V

DD

2_LP

DD

R2_

SH

AR

ED

_AG

28A

F27

PO

P_V

DD

2_LP

DD

R2_

SH

AR

ED

_AH

3A

H3

PO

P_V

DD

2_LP

DD

R21

_AH

15A

G14

PO

P_V

DD

2_LP

DD

R2_

SH

AR

ED

_C29

C28

PO

P_L

PD

DR

21_Z

Q_A

J17

AH

16

PO

P_L

PD

DR

22_Z

Q_A

G29

AF2

8

PO

P_V

AC

C_L

PD

DR

2_B

28A

26

PO

P_V

AC

C_L

PD

DR

2_B

2B

2

VSSP15VSSP14VSSP13VSSP12VSSN17VSSN16VSSN15VSSN14VSSN13VSSN12

VSSAM22

VSSM17VSSM16VSSM15VSSM14VSSM13

VSSAE27

VSSL25VSSL21

VD

DQ

_LP

DD

R2

L8

VSSK28VSS

K2

VD

DC

A_L

PD

DR

2A

H18

VD

D_C

OR

ET9

VD

D_M

PU

U9

VD

D_C

OR

EV

20

VD

D_I

VA

_AU

DIO

AA

17

VD

DS

_1P

8H

22

CA

P_V

DD

_LD

O_E

MU

_WK

UP

T7

VD

DA

_HD

MI_

VD

AC

G12

VSSAH21

C2C

_VR

EF

H15

RS

VD

5D

4

RS

VD

6D

5

RS

VD

7D

6

VD

D_C

OR

EY

11

VD

D_C

OR

EY

12

VD

D_C

OR

EY

13

VD

D_M

PU

Y9

VD

DA

_DP

LL_C

OR

E_A

UD

IOG

13

PO

P_V

DD

1_LP

DD

R22

_P29

R28

VD

DC

A_L

PD

DR

2A

B22

VD

DS

_DV

_C2C

G19

VD

DA

_DLL

0_LP

DD

R21

G22

VD

DA

_DLL

1_LP

DD

R21

G9

VD

DQ

_LP

DD

R2

L7

VD

DA

_DLL

1_LP

DD

R22

AB

10

VD

DS

_1P

8A

B7

LPD

DR

21_N

CS

0A

H28

C99

1UF

C99

1UF

C13

647

0nF

C13

647

0nF

C11

60.

1uF

C11

60.

1uF

C10

10.

1uF

C10

10.

1uF

C92

1UF

C92

1UF

C14

20.

1uF

C14

20.

1uF C

740.

1uF

C74

0.1u

F

C78

0.1u

FC

780.

1uF

C68

470n

FC

6847

0nF

Page 8: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

OM

AP

Deb

ug IF

- JT

AG

Con

nect

ors

Note: Clip

pin 6 for

Keying

Thes

e th

ree

OM

AP

GP

IOs

are

inte

nded

for u

se a

sbo

ard

ID in

dica

tors

, allo

win

g up

to 8

uni

que

Pan

dabu

ilds/

varia

nts.

Val

id v

alue

s ar

e:

"000

" - 7

20-2

151-

001

6-la

yer P

CB

"0

01" -

720

-215

2-00

1 8-

laye

r PC

B

"011

" - 7

20-2

152-

002

8-la

yer P

CB

ECN

-131

72 -

Inst

all R

109

ECN

-131

83 -

DN

I R16

, R33

-R35

ECN

-131

83 -

Inst

all R

36, R

39

LED

_GP

_0_D

LED

_GP

_1_D

VIO

_1v8

VIO

_1v8

VIO

_1v8

VIO

_1v8

VB

AT

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

VIO

_1v8

H_D

PM

_EM

U1

[6]

H_D

PM

_EM

U0

[6]

H_G

PIO

_WK

7[6

]

H_G

PIO

_WK

8[6

]

H_J

TAG

_NTR

ST

[6]

H_J

TAG

_TM

S[6

]H

_JTA

G_T

DI

[6]

H_J

TAG

_TC

K[6

]

H_J

TAG

_TD

O[6

]H

_JTA

G_R

TCK

[6]

H_S

YS

_NR

ES

PW

RO

N[1

3,3,

4,6]

CV

ID_O

UT

[5]

H_G

PIO

_121

[5]

BO

AR

D_I

D0

[6]

BO

AR

D_I

D1

[6]

BO

AR

D_I

D2

[6]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

JTA

G, D

ebug

Con

nect

ors

D

Mon

day,

Nov

embe

r 29,

201

08

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

JTA

G, D

ebug

Con

nect

ors

D

Mon

day,

Nov

embe

r 29,

201

08

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

JTA

G, D

ebug

Con

nect

ors

D

Mon

day,

Nov

embe

r 29,

201

08

14

J11

DN

I

1043

50-1

J11

DN

I

1043

50-1

12

R34

10K

DN

IR

3410

KD

NI

R14

23.

3KR

142

3.3K

J12

DN

I

1043

50-1

J12

DN

I

1043

50-1

1 2

R35

10K

DN

IR

3510

KD

NI

R33

10K

DN

IR

3310

KD

NI

R13

43.

3KD

NI

R13

43.

3KD

NI

S2

BS

3-10

00P

S2

BS

3-10

00P

1 23 4

R37

DN

I10

KR

37D

NI

10K

R38

DN

I10

KR

38D

NI

10K

R39

10K

R39

10K

D1

GRN

D1

GRN1 2

J13

DN

I

1043

50-1

J13

DN

I

1043

50-1

12

R10

810

0R

108

100

D2

GRN

D2

GRN1 2

J8

HD

R 2

x7

J8

HD

R 2

x711

22

33

44

55

77

88

99

1010

1111

1212

1313

1414

C11

1UF

C11

1UF

R16

10K

DN

IR

1610

KD

NI

R10

93.

3KR

109

3.3K

Q1 P

MV

56X

N

Q1 P

MV

56X

N

123

R36

10K

R36

10KR13

210

0R

132

100

S1

BS

3-10

00P

S1

BS

3-10

00P

1 23 4

Q2 P

MV

56X

N

Q2 P

MV

56X

N

123

Page 9: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

MM

C1_

WP

US

B_P

HY

_RB

IAS

US

BB

1_ID

US

B_P

HY

_3v3

US

BB

1_V

BU

S

RE

FSE

L2

US

B_P

HY

_3v3

RE

FSE

L0R

EFS

EL1

US

B_R

CLK

_3v3

US

B_V

1V5

US

B_R

CLK

_3v3

LT1_

ULP

ICLK

VD

D_M

MC

1

DG

ND

DG

ND

DG

ND

VD

D_M

MC

1V

DD

_MM

C1

VIO

_1v8

DG

ND

DG

ND

DG

ND

VB

AT

VB

AT

DG

ND

DG

ND

VIO

_1v8

DG

ND

VIO

_1v8

DG

ND

DG

ND

DG

ND

VIO

_1v8

DG

ND

DG

ND

VIO

_1v8VD

D_H

UB

_FLT

DG

ND

DG

ND

VD

D_H

UB

_FLT

VIO

_1v8

DG

ND

H_S

DM

MC

1_D

AT7

[5]

H_S

DM

MC

1_D

AT4

[5]

H_S

DM

MC

1_D

AT5

[5]

MM

C1_

CD

[3]

H_S

DM

MC

1_D

AT6

[5]

H_S

DM

MC

1_D

AT0

[5]

H_S

DM

MC

1_C

MD

[5]

H_S

DM

MC

1_C

LK[5

]

H_S

DM

MC

1_D

AT1

[5]

H_S

DM

MC

1_D

AT2

[5]

H_S

DM

MC

1_D

AT3

[5]

H_U

SB

B1_

DA

T0[5

]

H_U

SB

B1_

DA

T2[5

]H

_US

BB

1_D

AT3

[5]

H_U

SB

B1_

DA

T6[5

]H

_US

BB

1_D

AT7

[5]

H_U

SB

B1_

DA

T4[5

]H

_US

BB

1_D

AT5

[5]

H_U

SB

B1_

DA

T1[5

]

H_U

SB

B1_

STP

[5]

H_U

SB

B1_

NX

T[5

]H

_US

BB

1_D

IR[5

]

HU

B_N

RE

SE

T[1

1,5]

H_U

SB

B1_

CLK

[5]

US

BB

1_D

M[1

1]

US

BB

1_D

P[1

1]

US

BB

1_P

HY

_RE

FCLK

[6]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

SD

MM

C C

ard

Cag

e +

US

B P

hyD

Mon

day,

Nov

embe

r 29,

201

09

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

SD

MM

C C

ard

Cag

e +

US

B P

hyD

Mon

day,

Nov

embe

r 29,

201

09

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

SD

MM

C C

ard

Cag

e +

US

B P

hyD

Mon

day,

Nov

embe

r 29,

201

09

14

R92

0R

920

C51

2.2u

FC

512.

2uF

U8

US

B33

20C

-EZKU8

US

B33

20C

-EZK

VB

US

22

VB

AT

21

VD

D33

20

ID23

DM

19

SP

K_L

15

SP

K_R

16

NC

12

VD

D18

28

RE

FCLK

26C

LKO

UT

1D

IR31

NX

T2

STP

29D

ATA

03

DA

TA1

4D

ATA

25

DA

TA3

6D

ATA

47

DA

TA5

9D

ATA

610

DA

TA7

13R

ES

ETB

27

DP

18

RE

FSE

L08

RE

FSE

L111

RE

FSE

L214

CP

EN

17R

BIA

S24

XO

25

VD

D18

30

VD

DIO

32

GN

D/F

LAG

33

R20

50

DN

IR

205

0D

NI

C64

0.1u

FC

640.

1uF

U5

SN

74A

VC

1T45

DC

KR

DN

I

U5

SN

74A

VC

1T45

DC

KR

DN

IA3

DIR

5B

4

VC

CA

1

GN

D2

VC

CB

6

U16

TPD6E001RSER

U16

TPD6E001RSER

IO11

IO22

IO33

NC14

GND5

IO46

IO57

IO68

NC29

VCC10

C65

0.1u

FC

650.

1uF

R98

10K

DN

IR

9810

KD

NI

R93

0D

NI

R93

0D

NI

R47

8.06

KR

478.

06K

C20

0.1u

FC

200.

1uF

C17

90.

1uF

C17

90.

1uF

R10

010

KR

100

10K

R94

0D

NI

R94

0D

NI

C22

0.1u

FC

220.

1uF

TP13

TP13

R59

0R

590

R20

60

R20

60

R44

0R

440

J14

SD

MM

-X0-

0011

J14

SD

MM

-X0-

0011

DA

T31

CM

D2

VS

S0

3

VD

D4

CLK

5

VS

S1

6

DA

T07

DA

T18

DA

T29

DA

T410

DA

T511

DA

T612

DA

T713

CD

14

WP

15

MS

D_D

AT3

16

MS

D_C

MD

17

MG

ND

018

MV

DD

19

MC

LK20

MG

ND

121

MD

AT0

22

MD

AT1

23

MD

AT2

24

NC

125

NC

226

CA

SE

027

CA

SE

128

R99

10K

R99

10K

C19

64.

7UF

C19

64.

7UF

C21

62.

2uF

DN

I

C21

62.

2uF

DN

I

R14

710

KR

147

10K

R60

10K

R60

10K

R20

40

DN

IR

204

0D

NI

C26

2.2u

FC

262.

2uF

U18

TPD6E001RSER

U18

TPD6E001RSER

IO11

IO22

IO33

NC14

GND5

IO46

IO57

IO68

NC29

VCC10

R54

33.0

R54

33.0

C33

2.2u

FC

332.

2uF

Page 10: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

DV

I & H

DM

I Int

erfa

ces

Adj

uste

d fo

r .9V

DD

C I2

C In

terfa

ceIn

tern

al 1

0K P

ullu

ps.

HD

MI

Conn

ecto

r Ty

pe A

HDMI - Charge Pump/

ESD/Level

Translator

Plac

e as

clo

se a

s po

ssib

le t

o U

5 de

vice

pin

DVI

-D I

nter

face

HD

MI

Conn

ecto

rTy

pe A

BS

EL

C_H

DM

I_C

EC

C_H

DM

I_H

PD

C_H

DM

I_S

CL

C_H

DM

I_S

DA

D5_

0V_D

VID

D5_

0V_H

DM

I

DD

C_I

2C3_

SC

L

DD

C_I

2C3_

SD

A

DK

1D

K2

DK

3

DK

EN

DV

I_D

SE

L

DV

I_V

RE

F

HTP

LG

h_H

DM

I_C

LOC

KX

h_H

DM

I_C

LOC

KY

h_H

DM

I_D

ATA

0Xh_

HD

MI_

DA

TA0Y

h_H

DM

I_D

ATA

1Xh_

HD

MI_

DA

TA1Y

h_H

DM

I_D

ATA

2Xh_

HD

MI_

DA

TA2Y

ISE

LTF

AD

J

TPD

_SW

TXC

+TX

C-

TXD

0+TX

D0-

TXD

1+TX

D1-

TXD

2+TX

D2-

VIO

_1v8

DV

I_3V

3_FL

T

VD

D_3

V3

DV

I_3V

3_FL

T

DV

I_3V

3_FL

T

VIO

_1v8

VIO

_1v8

VB

AT

VB

AT

VIO

_1v8

VD

D_5

v0_H

DM

I

VIO

_1v8

VIO

_1v8

DV

I_3V

3_FL

T

DV

I_3V

3_FL

T

DC

_HS

T_5V

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

HD

MI_

LS_O

E[5

]

H_I

2C3_

SC

L[1

3,6]

H_I

2C3_

SD

A[1

3,6]

H_H

DM

I_D

ATA

1X[5

]H

_HD

MI_

DA

TA1Y

[5]

H_H

DM

I_D

ATA

2X[5

]H

_HD

MI_

DA

TA2Y

[5]

H_H

DM

I_C

LOC

KX

[5]

H_H

DM

I_C

LOC

KY

[5]

H_H

DM

I_S

CL

[5]

H_H

DM

I_S

DA

[5]

H_H

DM

I_H

PD

[5]

H_H

DM

I_C

EC

[5]

H_H

DM

I_D

ATA

0X[5

]H

_HD

MI_

DA

TA0Y

[5]

HD

MI_

CT_

CP

_HP

D[5

]

TFP

410_

NP

D[1

1]

DV

I_M

SE

N[5

]

H_D

SS

_DA

T0[6

]H

_DS

S_D

AT1

[6]

H_D

SS

_DA

T20

[6]

H_D

SS

_DA

T21

[6]

H_D

SS

_DA

T22

[6]

H_D

SS

_DA

T23

[6]

H_D

SS

_DA

T2[6

]H

_DS

S_D

AT3

[6]

H_D

SS

_DA

T4[6

]H

_DS

S_D

AT5

[6]

H_D

SS

_DA

T6[6

]H

_DS

S_D

AT7

[6]

H_D

SS

_DA

T8[6

]H

_DS

S_D

AT9

[6]

H_D

SS

_DA

T10

[6]

H_D

SS

_DA

T11

[6]

H_D

SS

_DA

T12

[6]

H_D

SS

_DA

T13

[6]

H_D

SS

_DA

T14

[6]

H_D

SS

_DA

T15

[6]

H_D

SS

_DA

T16

[6]

H_D

SS

_DA

T17

[6]

H_D

SS

_DA

T18

[6]

H_D

SS

_DA

T19

[6]

H_D

SS

_PC

LK[6

]

H_D

SS

_DE

N[6

]H

_DS

S_V

SY

NC

[6]

H_D

SS

_HS

YN

C[6

]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

DV

I/HD

MI I

nter

face

sD

Mon

day,

Nov

embe

r 29,

201

010

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

DV

I/HD

MI I

nter

face

sD

Mon

day,

Nov

embe

r 29,

201

010

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

DV

I/HD

MI I

nter

face

sD

Mon

day,

Nov

embe

r 29,

201

010

14

P1 CO

NN

_HD

MI_

1

P1 CO

NN

_HD

MI_

1

MTG

3M

TG3

MTG

2M

TG2

MTG

1M

TG1

MTG

4M

TG4

DA

T2+

1

DA

T2_S

2D

AT2

-3

DA

T1+

4

DA

T1_S

5D

AT1

-6

DA

T0+

7

DA

T0_S

8D

AT0

-9

CLK

+10

CLK

_S11

CLK

-12

CE

C13

NC

14

SC

L15

SD

A16

DD

C/C

EC

GN

D17

+5V

18

HP

LG19

L1BLM

18K

G22

1SN

1D

L1BLM

18K

G22

1SN

1D

C19

0.1u

FC

190.

1uF

R29

4.7K

R29

4.7K

C8

0.1u

FC

80.

1uF

VCC

GND

VL

TRI

-STA

TE

I/OV

L2

I/OV

L1

I/OVC

C2

I/OVC

C1

U3

TXS

0102

DC

UR

VCC

GND

VL

TRI

-STA

TE

I/OV

L2

I/OV

L1

I/OVC

C2

I/OVC

C1

U3

TXS

0102

DC

UR

1

23 4 5

67 8

L61

uHL6

1 uH

C18

0.1u

FC

180.

1uF

R24

4.7K

R24

4.7K

C24

0.1u

FC

240.

1uF

R1

4.7K

R1

4.7K

C14

0.1u

FC

140.

1uF

C15

0.1u

FC

150.

1uF

R32

1KR

321K

R27

0R

270

C23

4.7U

FC

234.

7UF

P2 CO

NN

_HD

MI_

1

P2 CO

NN

_HD

MI_

1

MTG

3M

TG3

MTG

2M

TG2

MTG

1M

TG1

MTG

4M

TG4

DA

T2+

1

DA

T2_S

2D

AT2

-3

DA

T1+

4

DA

T1_S

5D

AT1

-6

DA

T0+

7

DA

T0_S

8D

AT0

-9

CLK

+10

CLK

_S11

CLK

-12

CE

C13

NC

14

SC

L15

SD

A16

DD

C/C

EC

GN

D17

+5V

18

HP

LG19

C7

0.1u

FC

70.

1uF

R28

10K

R28

10K

R31

10K

R31

10K

rev. 1.6

U6

TPD

12S

015

rev. 1.6

U6

TPD

12S

015

CLK

+F4

CLK

-G

4D

0-E

4D

0+D

4D

1-C

4D

1+B

4D

2-A

4D

2+A

3

PG

ND

2G

1G

ND

4C

3

PG

ND

1B

3G

ND

2E

2G

ND

1D

2

SC

L_A

B1

SD

A_A

C1

CE

C_A

B2

HP

D_A

C2

CE

C_B

D3

HP

D_B

G3

SC

L_B

E3

SD

A_B

F3

VC

CA

A2

VB

AT

G2

LS_O

EA

1C

T_C

P_H

PD

D1

VC

CB

F1

SW

F2FB

E1

L4BLM

18K

G22

1SN

1D

L4BLM

18K

G22

1SN

1D

R30

10K

R30

10K

R26

0R

260

R17

510

R17

510

R23

12.4

KR

2312

.4K

C10

0.1u

FC

100.

1uF

L5BLM

18K

G22

1SN

1D

L5BLM

18K

G22

1SN

1D

C17

0.1u

FC

170.

1uF

C52

2.2u

FC

522.

2uF

R25

0R

250

U2

TFP

410U

2

TFP

410

DVDD1

DE

2

VR

EF

3H

SY

NC

4V

SY

NC

5

DK

36

DK

27

DK

18

HTP

LG9

PD

10

MS

EN

11

DVDD12

ISE

L/R

ES

ET

13

DS

EL/

SD

A14

BS

EL/

SC

L15

DGND16

PGND17

PVDD18

TFA

DJ

19

TGND20

TXC

-21

TXC

+22

TVDD23

TXD

0-24

TXD

0+25

TGND26

TXD

1-27

TXD

1+28

TVDD29

TXD

2-30

TXD

2+31

TGND32

DVDD33

RS

VD

234

DK

EN

35

PD

2336

PD

2237

PD

2138

PD

2039

PD

1940

PD

1841

PD

1742

PD

1643

PD

1544

PD

1445

PD

1346

PD

1247

DGND48

NC

49

PD

1150

PD

1051

PD

952

PD

853

PD

754

PD

655

IDC

K-

56ID

CK

+57

PD

558

PD

459

PD

360

PD

261

PD

162

PD

063

DGND64

TP65

Page 11: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

US

B +

Eth

erne

t Hub

US

B/E

ther

net H

ub

ECN

-131

72

Cha

nged

U13

from

a T

XS01

04EZ

XUR

to a

TXB

0104

ZXU

R, a

nd

DN

I R14

8

ETH

_EX

RE

S

ETH

_LE

D_G

RN

ETH

_LE

D_Y

EL

ETH

_nLI

NK

ETH

_nS

PD

ETH

_RX

+E

TH_R

X-

ETH

_TX

+E

TH_T

X-

HU

B_L

DO

_EN

HU

B_L

DO

_NR

HU

B_n

RE

SE

T_3v

3

HU

B_n

TRS

T

HU

B_T

CK

HU

B_T

DI

HU

B_T

MS

HU

B_X

TALI

HU

B_X

TALO

TCT_

RC

T

US

BH

1_D

MU

SB

H1_

DP

US

BH

2_D

MU

SB

H2_

DP

US

BH

3_D

MU

SB

H3_

DP

US

B_P

CTL

_1U

SB

_PC

TL_2

US

B_P

CTL

_3U

SB

_PC

TL_4

US

B_R

BIA

S

VB

US

_DE

T

VD

D18

_CO

RE

_ETH

VD

D18

_ETH

PLL

VD

D18

_US

BP

LL

HU

B_L

DO

_EN

HU

B_n

RE

SE

T_3v

3U

12_C

1U

12_A

1

VD

D_H

UB

_FLT

VB

US

_3

VD

D_H

UB

_FLT

DC

_HS

T_5V

VD

D_H

UB

_FLT

VB

US

_4

VD

D_H

UB

_FLT

VB

US

_1V

BU

S_2

VD

D_H

UB

_FLT

VD

D_H

UB

_FLT

VD

D_H

UB

_FLT

VD

D_H

UB

_FLT

VD

D_H

UB

_FLT

VB

AT

HU

B_3

V3

VD

D_H

UB

_FLT

VIO

_1v8

VD

D_3

V3

VIO

_1v8

VD

D_3

V3

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

VB

US

_1

VB

US

_2

DG

ND

DG

ND

DG

ND

DG

ND

US

BH

4_D

M[1

3]U

SB

H4_

DP

[13]

US

BH

3_D

P[1

3]U

SB

H3_

DM

[13]

HU

B_N

PD

[2,6

]HU

B_N

RE

SE

T[5

,9]

H_G

PIO

_0[6

]TF

P41

0_N

PD

[10]

US

BB

1_D

P[9

]

US

BB

1_D

M[9

]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Hub

IC (E

ther

net +

2X

US

B H

ost P

orts

)D

Mon

day,

Nov

embe

r 29,

201

011

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Hub

IC (E

ther

net +

2X

US

B H

ost P

orts

)D

Mon

day,

Nov

embe

r 29,

201

011

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Hub

IC (E

ther

net +

2X

US

B H

ost P

orts

)D

Mon

day,

Nov

embe

r 29,

201

011

14

+C

7510

0UF

+C

7510

0UF

C50

4.7U

FC

504.

7UF

R14

110

KR

141

10K

R56

10K

R56

10K

+C

2110

0UF

+C

2110

0UF

U13 TX

B01

04ZX

UR

U13 TX

B01

04ZX

UR

A1

A1

A2

A2

GN

DB

4

A3

A3

A4

A4

VC

CA

B2

OE

B3

B1

C1

B2

C2

B3

C3

B4

C4

VC

CB

B1

C96

DN

I15

PF

C96

DN

I15

PF

R58

10K

R58

10K

C66

0.1u

FC

660.

1uF

R14

810

KD

NI

R14

810

KD

NI

R96

330

R96

330

R10

749

.9R

107

49.9

C11

4

DN

I15

PF

C11

4

DN

I15

PF

C91

DN

I15

PF

C91

DN

I15

PF

U7

TPS

2054

BDU

7

TPS

2054

BD

GND1

IN1

2

EN

13

EN

24

GND5

IN2

6

EN

37

EN

48

OC

49

OU

T410

OU

T311

OC

312

OC

213

OU

T214

OU

T115

OC

116

C53

0.1u

FC

530.

1uF

C15

410

uFC

154

10uF

R10

412

KR

104

12K

C55

0.1u

FC

550.

1uF

R55

10K

R55

10K

C81

0.1u

FC

810.

1uF

L7B

LM18

KG

221S

N1D

L7B

LM18

KG

221S

N1D

C97

18pF

C97

18pF

C12

50.

1uF

C12

50.

1uF

C67

0.1u

FC

670.

1uF

R91

330

R91

330

C88

1UF

C88

1UF

L12

BLM

18K

G22

1SN

1D

L12

BLM

18K

G22

1SN

1D

+C

2510

0UF

+C

2510

0UF

C87

0.1u

FC

870.

1uF

C71

0.1u

FC

710.

1uF

+C

7610

0UF

+C

7610

0UF

C56

0.1u

FC

560.

1uF

C15

70.

1uF

C15

70.

1uF

J9 US

BA

x2_R

J-45

J9 US

BA

x2_R

J-45

GN

D4

G4

D-_

2U

_2V

BU

S_2

U_1

GN

D_2

U_4

GN

D_1

L_4

D+_

1L_

3D

-_1

L_2

VB

US

_1L_

1

SH

LD4

S4

SH

LD3

S3

GN

D1

G1

GN

D2

G2

GN

D3

G3

D+_

2U

_3

SH

LD1

S1

SH

LD2

S2

TCT

1

TD+

2

TD-

3

RD

+4

RD

-5

RC

T6

YE

LC7

YE

LA8

GR

NC

9

GR

NA

10

Y1

25.0

00M

Hz

Y1

25.0

00M

Hz

12

Ups

tream

Dow

nstre

am

EE

PR

OM

Pow

er

Eth

erne

t

Clo

cks

GP

IO +

Mis

c.

JTA

G

U9

LAN

9514

Ups

tream

Dow

nstre

am

EE

PR

OM

Pow

er

Eth

erne

t

Clo

cks

GP

IO +

Mis

c.

JTA

G

U9

LAN

9514

US

BD

M2

1

US

BD

P2

2

US

BD

M3

3

US

BD

P3

4

VD

D33

A5

US

BD

M4

6

US

BD

P4

7

US

BD

M5

8

US

BD

P5

9

VD

D33

A10

VB

US

_DE

T11

n_R

ES

ET

12

TES

T113

PR

TCTL

214

VD

D18

CO

RE

15

PR

TCTL

316

PR

TCTL

417

PR

TCTL

518

VD

D33

IO19

nFD

X_L

ED

/GP

IO0

20

nLN

KA

_LE

D/G

PIO

121

nSP

D_L

ED

/GP

IO2

22

EE

CLK

23E

EC

S24

EE

DO

25

EE

DI

26

VD

D33

IO27

nTR

ST

28

TMS

29

TDI

30

TDO

31

TCK

32

VD

D33

IO33

TES

T234

GP

IO3

35

GP

IO4

36

GP

IO5

37

VD

D18

CO

RE

38

VD

D33

IO39

TES

T340

AU

TOM

DIX

_EN

41

GP

IO6

42

GP

IO7

43

CLK

24_E

N44

CLK

24_O

UT

45

VD

D33

IO46

TES

T447

VD

D18

ETH

PLL

48

VD

D33

A49

EX

RE

S50

VD

D33

A51

RX

P52

RX

N53

VD

D33

A54

TXP

55

TXN

56

VD

D33

A57

US

BD

M0

58U

SB

DP

059

XO

60

XI

61

VD

D18

US

BP

LL62

US

BR

BIA

S63

VD

D33

A64

VS

S(F

LAG

)65

R86

10K

R86

10K

R10

612

.4K

R10

612

.4K

C30

0.1u

FC

300.

1uF

R11

149

.9R

111

49.9

C98

18pF

C98

18pF C

148

10uF

C14

810

uFC

132

0.1u

FC

132

0.1u

F

U11

TPS

7363

3DB

VT

U11

TPS

7363

3DB

VT

IN1

GN

D2

EN

3N

R4

OU

T5

C16

20.

1uF

C16

20.

1uF

R11

649

.9R

116

49.9

C31

0.1u

FC

310.

1uF

C35

0.1u

FC

350.

1uF

R14

30

DN

IR

143

0D

NI

C11

7

DN

I15

PF

C11

7

DN

I15

PF

R13

310

R13

310

R11

01M

egR

110

1Meg

R12

949

.9R

129

49.9

R57

10K

R57

10K

Page 12: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

PCB Note:

Traces surrounded by GNDHSET shield

& routed diff to connector

PCB Note: Place circuit near A/V connector.

3.5m

m A

udio

Con

n +

RS

-232

I/F

Hea

dset

Jac

k

RS

-232

CO

NN

EC

TOR

Mic

roph

one

Jack

FM_A

NT_

ALT

FM_R

CV

_AN

T_A

CIF

HS

_EA

R_L

HS

_EA

R_R

RS

232_

C1+

RS

232_

C1-

RS

232_

C2+

RS

232_

C2-

RS

232_

CTS

RS

232_

DS

R

RS

232_

DS

R

RS

232_

DTR

RS

232_

DTR

RS

232_

RTS

RS

232_

RX

RS

232_

TX

RS

232_

V+

RS

232_

V-

UA

RT3

_CTS

_3V

3

UA

RT3

_RTS

_3v3

UA

RT3

_RX

_3V

3

UA

RT3

_TX

_3V

3

GN

DH

SE

T

DG

ND

DG

ND

VD

D_3

V3

DG

ND

VD

D_3

V3

DG

ND

DG

ND

VIO

_1v8

VD

D_3

V3

VIO

_1v8

VD

D_3

V3

DG

ND

DG

ND

DG

ND

GN

DH

SE

T

GN

DH

SE

T

GN

DH

SE

TG

ND

HS

ET

GN

DH

SE

T

AU

D_H

SL

[4]

AU

D_H

SR

[4]

FM_A

NT_

IN[1

4]

AU

DIN

_P[4

]

AU

DIN

_N[4

]

H_U

AR

T3_T

X_I

RTX

[5]

H_U

AR

T3_R

TS_I

RS

D[5

]H

_UA

RT3

_RX

_IR

RX

[5]

H_U

AR

T3_C

TS_R

CTX

[5]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Aud

io J

ack

& R

S-2

32 C

onne

ctor

D

Mon

day,

Nov

embe

r 29,

201

012

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Aud

io J

ack

& R

S-2

32 C

onne

ctor

D

Mon

day,

Nov

embe

r 29,

201

012

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Aud

io J

ack

& R

S-2

32 C

onne

ctor

D

Mon

day,

Nov

embe

r 29,

201

012

14

D4

PG

B00

1060

3MR

D4

PG

B00

1060

3MR

C18

80.

01uF

C18

80.

01uF

C21

40.

1uF

C21

40.

1uF

L27

82nH

L27

82nH

R17

4

DN

I

0R17

4

DN

I

0

C1+

C1-

C2-

C2+

V+

V-

VC

C

GN

D

DO

UT2

DIN

2

DO

UT1

DIN

1

RIN

2

RO

UT1

RIN

1

RO

UT2

U24 SN

75C

3232

ED

R

C1+

C1-

C2-

C2+

V+

V-

VC

C

GN

D

DO

UT2

DIN

2

DO

UT1

DIN

1

RIN

2

RO

UT1

RIN

1

RO

UT2

U24 SN

75C

3232

ED

R1

23 4 5

6 7 891011 12

13141516

U21 TX

S01

04E

ZXU

R

U21 TX

S01

04E

ZXU

R

A1

A1

A2

A2

GN

DB

4

A3

A3

A4

A4

VC

CA

B2

OE

B3

B1

C1

B2

C2

B3

C3

B4

C4

VC

CB

B1

C20

70.

1uF

C20

70.

1uF

C21

50.

1uF

C21

50.

1uF

C21

30.

1uF

C21

30.

1uF

C20

318

pFC

203

18pF

C21

20.

1uF

C21

20.

1uF

R17

1D

NI

0R

171

DN

I0

C20

20.

1uF

C20

20.

1uF

L26

68nH

L26

68nH

D5

PG

B00

1060

3MR

D5

PG

B00

1060

3MR

R16

315

R16

315

P4 190-

009-

263R

001

P4 190-

009-

263R

001

11

22

33

44

55

66

77

88

99

SH

L110

SH

L211

C20

518

pFC

205

18pF

C19

20.

01uF

C19

20.

01uF

D6

PG

B00

1060

3MR

D6

PG

B00

1060

3MR

D7

PG

B00

1060

3MR

D7

PG

B00

1060

3MR

J16

Dua

l_3.

5mm

Con

nect

orS

tack

ed

J16

Dua

l_3.

5mm

Con

nect

orS

tack

ed

1A 1B2A 2B5A 5BR

161

15R

161

15

C20

60.

1uF

C20

60.

1uF

Page 13: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

LCD

Exp

ansi

on C

onne

ctor

s (

Beag

le L

egac

y)

Cam

era

Sens

orEx

pans

ion

Conn

ecto

r

Expa

nsio

n Co

nnec

tors

Expa

nsio

n Co

nnec

tors

EX

P_P

WE

N

J03_

25

DC

_5V

DC

_5V

DC

_5V

DC

_5V

VD

D_V

AU

X2

VD

D_V

AU

X2

VIO

_1v8

VIO

_1v8

VD

D_V

AU

X3

DC

_5V

VB

AT

VB

AT

VD

D_V

AU

X3

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

VIO

_1v8

VIO

_1v8

DG

ND

DC

_5V

DG

ND

DG

ND

DG

ND

VB

US

_3V

BU

S_4

EX

P_D

SS

_DA

T16

[6]

EX

P_D

SS

_DA

T3[6

]

EX

P_D

SS

_DA

T7[6

]

EX

P_D

SS

_DA

T5[6

]

CS

I21_

DX

0[5

]

EX

P_D

SS

_HS

YN

C[6

]

EX

P_D

SS

_DA

T20

[6]

CS

I21_

DY

0[5

]

CS

I21_

DX

1[5

]C

SI2

1_D

Y1

[5]

CS

I21_

DX

2[5

]C

SI2

1_D

Y2

[5]

CS

I21_

DX

4[5

]C

SI2

1_D

Y4

[5]

CS

I21_

DX

3[5

]C

SI2

1_D

Y3

[5]

EX

P_D

SS

_DA

T8[6

]

EX

P_D

SS

_DA

T12

[6]

EX

P_D

SS

_PC

LK[6

]

EX

P_D

SS

_DA

T1[6

]

H_I

2C2_

SC

L[6

]

EX

P_D

SS

_DA

T15

[6]

EX

P_D

SS

_DA

T9[6

]

EX

P_D

SS

_DA

T19

[6]

EX

P_D

SS

_DA

T23

[6]

EX

P_D

SS

_DA

T17

[6]

EX

P_D

SS

_DA

T14

[6]

EX

P_D

SS

_DE

N[6

]

EX

P_D

SS

_DA

T0[6

]

EX

P_D

SS

_DA

T22

[6]

EX

P_D

SS

_DA

T6[6

]

EX

P_D

SS

_DA

T11

[6]

EX

P_D

SS

_DA

T2[6

]

EX

P_D

SS

_DA

T21

[6]

EX

P_D

SS

_DA

T4[6

]

EX

P_D

SS

_DA

T18

[6]

EX

P_D

SS

_DA

T13

[6]

EX

P_D

SS

_VS

YN

C[6

]

H_I

2C3_

SC

L[1

0,6]

H_I

2C3_

SD

A[1

0,6]

H_F

RE

F_C

LK1_

OU

T[6

]

H_G

PIO

_40

[5]

H_G

PIO

_45

[5]

H_I

2C2_

SD

A[6

]

H_C

AM

_GLB

_RE

SE

T[5

]H

_CA

M_S

HU

TTE

R[5

]H

_CA

M_S

TRO

BE

[5]

EX

P_D

SS

_DA

T10

[6]

H_G

PIO

_42

[5]

H_G

PIO

_47

[5]

H_G

PIO

_44

[5]

NU

SB

_PW

R[2

]

H_S

DM

MC

2_D

AT7

[5]

H_S

DM

MC

2_D

AT4

[5]

H_S

DM

MC

2_D

AT5

[5]

H_S

DM

MC

2_D

AT6

[5]

H_S

DM

MC

2_D

AT0

[5]

H_S

DM

MC

2_C

MD

[5]

H_S

DM

MC

2_C

LK[5

]

H_S

DM

MC

2_D

AT1

[5]

H_S

DM

MC

2_D

AT2

[5]

H_S

DM

MC

2_D

AT3

[5]

H_I

2C4_

SD

A[1

4,6]

H_I

2C4_

SC

L[1

4,6]

PH

O_R

EG

EN

1[2

,3]

US

BH

4_D

P[1

1]U

SB

H4_

DM

[11]

HFR

_P[4

]H

FR_N

[4]

US

BH

3_D

P[1

1]U

SB

H3_

DM

[11]

H_G

PM

C_N

CS

0[5

]H

_GP

MC

_NC

S1

[5]

HFL

_P[4

]

H_G

PM

C_N

AD

V_A

LE[5

]H

_GP

MC

_NB

E0_

CLE

[5]

HFL

_N[4

]

H_S

YS

_NR

ES

PW

RO

N[3

,4,6

,8]

H_M

CS

PI1

_CS

3[5

]H

_UA

RT4

_TX

[5]

H_M

CS

PI1

_CS

1[5

]H

_MC

SP

I1_S

IMO

[5]

H_M

CS

PI1

_CS

2[5

]H

_MC

SP

I1_C

S0

[5]

H_M

CS

PI1

_SC

LK[5

]

H_U

AR

T4_R

X[5

]

H_M

CS

PI1

_SO

MI

[5]

H_D

PM

_EM

U2

[6]

H_G

PM

C_W

AIT

0[5

]H

_GP

MC

_NW

P[5

]H

_GP

MC

_CLK

[5]

PB

_PO

WE

R_O

N[3

]H

_SY

S_N

RE

SW

AR

M[3

,6]

H_G

PM

C_A

D11

[5]

H_G

PM

C_A

D8

[5]

H_G

PM

C_A

D9

[5]

H_G

PM

C_A

D10

[5]

H_G

PM

C_A

D12

[5]

H_G

PM

C_A

D13

[5]

H_G

PM

C_A

D14

[5]

H_G

PM

C_A

D15

[5]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Exp

ansi

on C

onne

ctor

sD

Mon

day,

Nov

embe

r 29,

201

013

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Exp

ansi

on C

onne

ctor

sD

Mon

day,

Nov

embe

r 29,

201

013

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

Exp

ansi

on C

onne

ctor

sD

Mon

day,

Nov

embe

r 29,

201

013

14

C21

01U

FD

NI

C21

01U

FD

NI

R5

0D

NI

R5

0D

NI

J1

HE

AD

ER

10x

2

DN

IJ1

HE

AD

ER

10x

2

DN

I 12

34

56

78

910

1112

1314

1516

1718

1920

J3 EX

P_H

DR

_28

DN

IJ3 E

XP

_HD

R_2

8

DN

I 12

34

56

78

910

1112

1314

1516

1718

1920

2122

2324

2526

2728

C1

0.1u

FD

NI

C1

0.1u

FD

NI

C5

0.1u

FD

NI

C5

0.1u

FD

NI

C4

0.1u

FD

NI

C4

0.1u

FD

NI

C20

90.

1uF

DN

I

C20

90.

1uF

DN

I

R3

0D

NI

R3

0D

NI

C3

0.1u

FD

NI

C3

0.1u

FD

NI

J6 EX

P_H

DR

_28

DN

IJ6 E

XP

_HD

R_2

8

DN

I 12

34

56

78

910

1112

1314

1516

1718

1920

2122

2324

2526

2728

C2

0.1u

FD

NI

C2

0.1u

FD

NI

J4 HE

AD

ER

10x

2

DN

IJ4 HE

AD

ER

10x

2

DN

I 12

34

56

78

910

1112

1314

1516

1718

1920

C6

0.1u

FD

NI

C6

0.1u

FD

NI

J17

TFM

_30D

NI

J17

TFM

_30D

NI

12

34

56

78

910

1112

1314

1516

1718

1920

2122

2324

2526

2728

2930

Page 14: Table of Contents Pg# - Schematic Page Name ... · 2011. 5. 8. · 5 5 4 4 3 3 2 2 1 1 D D C C B B A A Table of Contents Pg# - Schematic Page Name ----- 1 - Title Page 2 - Input Power

5 5

4 4

3 3

2 2

1 1

DD

CC

BB

AA

(GP

IO_4

6)

(GP

IO_4

3)

(GP

IO_5

3)

(GP

IO_5

2)

(GP

IO_4

9)

(GP

IO_4

8)

BT_

AU

DIN

BT_

AU

DO

UT

BT_

FSY

NC

BT_

I2S

CLK

BT_

TX_D

BG

FMTX

_AN

TP

FM_A

NT_

RX

FM_A

NT_

TX

FM_ANT_TX1

FM_E

N_I

N

FM_I

2S_C

LKFM

_I2S

_DI

FM_I

2S_D

O

FM_I2S_FSYNC

UA

RT_

WU

/GS

M_S

YN

C

WL1

271_

CTS

WL1

271_

RTS

WL1

271_

RX

WL1

271_

TX

WLA

N_A

NT

WLA

N_A

NT_

CH

IP

WLA

N_A

NT_

CO

NN

WL_

RS

232_

RX

WL_

RS

232_

TX

WL_

UA

RT_

DB

G

WL1

271_

CTS

WL1

271_

RTS

WL1

271_

TXW

L127

1_R

X

BT_

TX_D

BG

WL_

RS

232_

RX

WL_

RS

232_

TXW

L_U

AR

T_D

BG

DG

ND

DG

ND

DG

ND

DG

ND

GN

DA

NT2

_4

VB

AT

GN

DA

NT2

_4

DG

ND

GN

DA

NT2

_4

DG

ND

VIO

_1v8

VB

AT

VIO

_1v8

DG

ND

DG

ND

VB

AT

DG

ND

DG

ND

DG

ND

DG

ND

VIO

_1v8

DG

ND

DG

ND

VB

AT

DG

ND

DG

ND

DG

ND

DG

ND

DG

ND

WLA

N_S

DIO

_D3

[5]

WLA

N_S

DIO

_D2

[5]

WLA

N_S

DIO

_D1

[5]

WLA

N_S

DIO

_D0

[5]

WLA

N_S

DIO

_CM

D[5

]W

LAN

_SD

IO_C

LK[5

]

BT_

EN

[5]

CLK

32K

_GA

TE[3

]

WLA

N_E

N[5

]H

_MC

BS

P1_

FSX

[5]

H_M

CB

SP

1_D

X[5

]

H_M

CB

SP

1_D

R[5

]H

_MC

BS

P1_

CLK

[5]

HC

I_TX

[5]

HC

I_R

TS[5

]H

CI_

RX

[5]

HC

I_C

TS[5

]

FM_A

NA

_L_O

UT

[4]

FM_A

NA

_R_O

UT

[4]

H_M

CB

SP

2_D

X[5

]

H_M

CB

SP

2_FS

X[5

]H

_MC

BS

P2_

CLK

[5]

H_M

CB

SP

2_D

R[5

]

FM_A

NT_

IN[1

2]

WLA

N_N

IRQ

[5]

FM_A

NA

_R_I

N[4

]FM

_AN

A_L

_IN

[4]

BT_

WA

KE

UP

[5]

H_I

2C4_

SC

L[1

3,6]

H_I

2C4_

SD

A[1

3,6]

FM_N

IRQ

[5]

FM_E

N[5

]

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

WLA

N/B

luet

ooth

/FM

D

Mon

day,

Nov

embe

r 29,

201

014

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

WLA

N/B

luet

ooth

/FM

D

Mon

day,

Nov

embe

r 29,

201

014

14

Rev

She

etof

Dat

e:

Doc

umen

t Num

ber

750-

2152

-002

-SC

H

OM

AP

4430

Pan

da B

oard

, 8-L

ayer

WLA

N/B

luet

ooth

/FM

D

Mon

day,

Nov

embe

r 29,

201

014

14

TP3

TP3

R14

0R

140

R4

0R

40

R13

0R

130

C34

4.7U

FC

344.

7UF

SLW

-105

-01-

L-D

J2D

NI

SLW

-105

-01-

L-D

J2D

NI

12

34

56

78

910

R19

0R

190

R21

0R

210

L33.0n

HL33.

0nH

1 2

R15

0R

150

R18

DN

I0

R18

DN

I0

TP2

TP2

C28

0.1u

FC

280.

1uF

R20

0R

200

TP1

TP1

TP4

TP4

C9

0.3p

F

C9

0.3p

F

R22

0R

220

L2D

NI

HK

1005

56N

J-T

L2D

NI

HK

1005

56N

J-T

R63

0R

630

C27

0.1u

FC

270.

1uF

R7

0R

70

C16

DN

I12

0pF

C16

DN

I12

0pF

R11

0R

110

R62

0R

620

C32

4.7U

FC

324.

7UF

AN

T12_

4GH

zAnt

enna

AN

T12_

4GH

zAnt

enna

A

ANT

B

J5D

NI

UM

CC

_VE

RT

J5D

NI

UM

CC

_VE

RTG1

G2

S

C29

0.1u

FC

290.

1uF

R12

0R

120

TP6

TP6

TP7

TP7

R61

0D

NI

R61

0D

NI

TP5

TP5

C12

1nF

C12

1nF

C13

1nF

C13

1nF

R10

0R

100

R2

DN

I0R

2

DN

I0

J7

DN

I

1043

50-1

J7

DN

I

1043

50-1

1 2

U1

DN

I US

BU

LC6

U1

DN

I US

BU

LC6

A2

A2

B1

B1

B2

B2

A1

A1

R6

0R

60

R9

0R

90

R8

0R

80

U4

LS24

0-W

I-01-

A20

U4

LS24

0-W

I-01-

A20

VB

AT

1

GN

D1

2

BT_

FUN

CT5

3

GN

D2

4

WL_

UA

RT_

DB

G5

WLA

N_I

RQ

6

BT_

EN

7

FM_E

N8

WL_

RS

232_

RX

9

WL_

RS

232_

TX10

FM_I

2S_F

SY

NC

11

WL_

EN

12

VIO

13

GN

D3

14

SD

IO_D

315

SD

IO_D

216

SD

IO_D

117

SDIO_D018

SDIO_CMD19

SDIO_CLK20

SLOW_CLK21

FM_IRQ22

FM_SDA23

FM_SCL24

FM_I2S_CLK25

FM_I2S_DI26

FM_I2S_DO27

FM_AUD_RIN28

FM_AUD_LIN29

FMR

FOU

T30

FMR

FIN

31G

ND

432

FM_A

UD

_RO

UT

33FM

_AU

D_L

OU

T34

GN

D5

35A

UD

_FS

YN

C36

HC

I_R

X37

HC

I_R

TS38

HC

I_TX

39A

UD

_CLK

40A

UD

_OU

T41

HC

I_C

TS42

AU

D_I

N43

BT_

FUN

CT2

44B

T_FU

NC

T445

VD

D_L

DO

_CLA

SS

_1P

546

GND647 GND748 GND849 GND950

ANT51

GND1052 GND1153 GND1254 GND1355 GND1456