Special Cellls

14
Special cells Presented By: Mehul Patel Ravi Patel

description

Special cells in Vlsi

Transcript of Special Cellls

Slide 1

Special cellsPresented By:Mehul Patel Ravi PatelDifferent Types of special cellDecap CellWell Tap CellEnd Cap CellFiller CellTie cellSpare cell

Decap cellDecap cells are on-chip decoupling capacitors that are attached to the power network to decrease noise effects Decap cells are most effective when placed closest to the flopsAfter power planning we add the decap cell

Why we need it?De cap cells are temporary capacitors added in the design between power and ground rails to counter functional failures due to dynamic IR drop. when Sequential and Digital elements are switched at that time Dynamic I .R. drop happens . Due to this simultaneous switching a high current is drawn from the power grid for a small duration. If the power source is far away from a flop the chances are that this flop can go into a metastable state due to IR Drop. To overcome this decap cells are added. During the switching of elements at that time the current requirement is high , these decap cell discharge and provide boost to the power grid.Well Tap cellIt is technology dependentTap cell is placed at every X microns

Well Tap cont..

well tap cells are used to limit resistance between power or ground connections to wells of the substrate. taps are traditionally used so that your VDD and GND are connected to substrate and n-wells respectively. This is to help tie them to VDD and GND levels so that they don't drift too much (especially towards the middle of the chip) and cause latchup.End Cap CellEnd cap cells are preplaced physical only cells that are required to meet certain design rulesThey are placed at the right or left most ends of the site rows, and are used in some technology for power distributionEnd cap does not allowed to routing comeout side beyond endcap

End cap cell is used at the every standard cell row

Filler CellFiller cells should be included in standard cell library filler cells provide continuity for VDD/GND rails, as well as for n-well

Two types of Filler cell : 1)I/O filler cell 2) Std. Filler cell

For PAD ring continuity, we use IO filler cellsStd. Filler cells are also used in the std cell region(inside core area). The reason is to fulfill the continuity of N-Well thought the std cell area. Filler cell cont..Some of the small cells also doesn't have the bulk connection (substrate connection) because of their small size. In those cases, the abutment of cells through inserting filler cells can connect those substrates of small cells to the power/ground nets

After the std. cell placement we use the Filler cell

Tie cellsTie-high and Tie-Low cells are used to connect the gate of the transistor to either power or ground.These cells are part of standard-cell library

The cells which require Vdd (Typically constant signals tied to 1) connect to Tie high cells

The cells which require Vss/Gnd (Typically constant signals tied to 0) connect to Tie Low cellsTie Cell cont..

Spare CellSpare cells are extra cells placed at regular interval in the chip. This cells are placed in a group of functional cells like(and, or, nor, mux, flop, inverter, buffer)

Once the chip is taped out and if any functional issue is found or any feature enhancement is required, these pre-placed cells can be used to add functionality without redoing the entire design

cellsWhen Put?whyI/O filler cellfloorplanningFor I/O pad continuityTap CellIn Macro placementTo prevent latch UpEnd Cap Cellbefore std. cell placementPower ditribution

Decap cellAfter Power planningTo prevent IR drop & voltage flactuationStd. Filler CellPlacement For continutity between cellThank You