SCREEN Equipment Manufacturer: Full participation within...
Transcript of SCREEN Equipment Manufacturer: Full participation within...
![Page 1: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/1.jpg)
1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
SCREEN Equipment Manufacturer:Full participation within the SOI Consortium
June 1, 2017SCREEN Semiconductor Solutions Co., Ltd.
![Page 2: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/2.jpg)
Agenda
2 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. SCREEN as a product line-up
2. SOI and Alternate Application solution: test case of Laser anneal
3. All about uniformity nm control and process contr ol
4. How to integrate SOI product solutions within our own tools
5. Conclusion
Why are equipment manufacturer interested in participating in the SOI Consortium?
![Page 3: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/3.jpg)
Agenda
3 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. SCREEN as a product line-up
2. SOI and Alternate Application solution: test case of Laser anneal
3. All about uniformity nm control and process contr ol
4. How to integrate SOI product solutions within our own tools
5. Conclusion
Why are equipment manufacturer interested in participating in the SOI Consortium?
![Page 4: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/4.jpg)
Worldwide WFE Ranking
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.4
WFE = Wafer Fab Equipment
Source: Gartner, April 2017'15-'16 Growth (%) in Top 10 Semiconductor Equipmen t Suppliers2015 2016 '15-'16 Growth
Revenue Revenue (%) In Dollars1 Screen Semiconductor Solutions 971.5 1,303.3 6 34.2%2 Applied Materials 6,420.2 8,102.0 1 26.2%3 Hitachi High-Technologies 788.3 969.5 7 23.0%4 Tokyo Electron 4,325.0 4,975.2 4 15.0%5 Nikon 724.2 822.7 8 13.6%6 ASML 4,701.6 5,061.1 3 7.6%7 Lam Research 4,808.3 5,170.2 2 7.5%8 KLA-Tencor 2,043.2 2,180.5 5 6.7%9 ASM International 582.5 544.3 9 -6.6%10 Hitachi Kokusai 633.8 530.5 10 -16.3%
Total Top 10 25,998.6 29,659.4 14.1%Others 5,484.5 4,373.8 -20.3%Total Market 31,483.1 34,033.2 8.1%
Data Source: The Information Network
Growth (%)Rank 2016
VendorRevenue
Rank 2016
� Top growth rate of tool sales between major SPE manufacturers
[CY2015→CY2016: +34.2% US$ basis)]
� Number 6 Worldwide Wafer Fab Equipment
CY2016Rank
CompanyCY2016
Revenue($M)CY2016
Share(%)
1 Applied Materials 7,737 20.7%2 Lam Research 5,213 13.9%3 ASML 5,091 13.6%4 Tokyo Electron 4,861 13.0%5 KLA-Tencor 2,406 6.4%6 Screen Semiconductor Solutions 1,375 3.7%7 Hitachi High-Technologies 980 2.6%8 Nikon 732 2.0%9 Hitachi Kokusai Electric 528 1.4%10 ASM International 497 1.3%11 Murata Machinery 493 1.3%12 Daifuku 472 1.3%13 Ebara 441 1.2%14 SEMES 434 1.2%15 Canon 392 1.0%16 Tokyo Seimitsu 305 0.8%17 NuFlare Technology 265 0.7%18 Ulvac 190 0.5%19 Nanometrics 184 0.5%20 Disco 178 0.5%
Others 4,634 12.4%Total Market 37,407 100.0%
![Page 5: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/5.jpg)
Product Line-up
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.5
Ф100mm
Semiconductor Equipment Solutions
Single Wafer Cleaner
MeasurementSystem
Coat/Develop Track
Scrubber
Frontier Project for 200mm
Direct Imaging SystemWet Station
SU-3200
SU-3300
SS-3200
Ф300mm
SS-3100
SS-80EX
Ф300mm
Ф300mm
Ф300mm
Ф200mm
FC-821L
FC-3100
WS-820L/WS-620C
Ф200mm
Ф300mm
Ф300mm
Ф300mm
Ф200mm
RE-3100/3300 Series VM-2200/3200 Series
VM-1200/1300 Series
Ф150mm
Ф300mm
Ф100mm
Ф300mm
Ф100mm
Ф300mmФ200mm
Ф300mm
SU-2000
Ф200mm
CW-1500
Ф200mm
DW-3000
ZI-2000
Ф200mm
LA-3000-F
LA-830
LT-3000/3100
Ф200mm
Ф300mm
Ф300mmФ300mm
Ф50mm
Ф300mm
VM-1020/1030 Series
Ф200mm
DT-3000
Annealing System
600mm
DW-3000 for PLP
RF-310A
![Page 6: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/6.jpg)
Wafer Cleaning System
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.6
SU-3200Single Wafer Cleaner
SS-3200
Scrubber
FC-3100
Wet Station
� Nano process capability for 28nm and lower� Provides throughput of up to 800 WPH� Downtime reduction & maintenance
capability improvement� Flexible tool/chamber system� ECO Friendly
Ф300mmФ300mm
Single Wafer Cleaner
SU-330024 chamber configuration
4 levels x 6 towers construction
APAC2 super clean technology
Nanodry7
Nano Control Nozzle
Nanocycle
Single chamber servicing
4 independent modules
� Installation of the latest cleaning toolsachieves high cleaning capacity
� Provides throughput of up to 800 WPH
� Same processing capacity as thehighly reliable and stable SS-3100
� Space-saving design
Ф300mmФ300mm
� Nano process capability for 45nm and lower
� Provides throughput of up to 650 WPH
� Short delivery time and fast setup
� Highly stable and reliable
� Flexible system configurations
![Page 7: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/7.jpg)
Coat/Develop Track
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.7
� High-Throughput while Ensuring High Reliability
� Non-Stop Operation Delivers High Productivity
� Small Footprint & Flexible Configuration
� Advanced Lithography Technology
� Continuing the Reliability of the Long-Selling 60/80 Series
� Updated Control System for Easy Expandability
� Maintaining the Upward Compatibility of the 60/80 Series
� Flexibility to Process a Variety of Substrates
� Performance Leveraging Advanced Technology
� Simple hardware and software enable high reliability� High throughput designed for various process flows� Features the latest technology for today's cutting-edge,
finer pattern processing� Uses a modular design that is inherently flexible and
expandable. The adaptable equipment design makes it possible to deliver and assemble the RF3 quickly
Ф300mm
Ф300mm
Ф200mm80EX80EX80EX80EX SeriesSeriesSeriesSeries
Spray CoaterSpray CoaterSpray CoaterSpray Coater
DT-3000
RF-310A
Ф200mm
![Page 8: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/8.jpg)
Annealing System
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.8
� Precise thermal budget control– Flexible shape pulse and energy control
•Ramp, Peak, Dwell, Soak timing and pulse height� Confined atomic diffusion control
– 0.1msec pulse width control > 1 nm junction depth control� High dopant activation and high heating rate� High cooling rate to minimize deactivation� Excellent Rs uniformity (no scan overlap)� Broad wavelength absorption to minimize pattern
effect� Supports many annealing applications as:
– Well, S/D Extension, Contact, S/D USJ, HK Nitridation, HK PDA, Metal Gate Anneal
� Ultra-Iow Thermal BudgetAchieving the highest possible temperature gradient insub-micro seconds, LT tools are able to anneal fragilesubstrates without damaging critical device structures.� Full Device Exposure
Annealing one die, a group of dies or only the desiredregion in a single shot, the LT makes it all possible byshaping the beam size to meet process and devicerequirements without stitching.� Dopant Activation Control
Obtaining unprecedented high line and parametric yieldlevels are only possible with the LT annealing process.By melt-annealing, the dopants are superactivated and the low resistance layer is defect free.
Ф300mm
Ф300mm
LA-3000-F
LT-3000/3100
Flash Lamp Annealer
Ф200mm
Laser Annealer
![Page 9: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/9.jpg)
Measurement System
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.9
Ф300mm
VM-2200/3200 series
Spectroscopic Film Thickness Measurement System
The VM-2200/3200 series offers high throughput of up to 160 wafers per hour in high-speed mode (during five-point measurement of SiO2 wafers)
Ф100mm
SiC/Si Power Device
MEMS
SAW Device
Thin Wafer Transfer
Multi-function modell
Ф300mm
A desktop model that can be incorporated into production lines
Ф100mm
SiC/Si Power Device
MEMS
SAW Device
Thin Wafer Transfer
RE-3100/3300 series
Ellipsometric Film Thickness Measurement System
The ellipsometer is not affected by warping or bending of wafers, enabling highly accurate measurements
SiC/Si Power Device
MEMS
SAW Device
Thin Wafer Transfer
Ф300mmФ150mm
High-end model
VM-1200/1300 series
Spectroscopic Film Thickness Measurement System
Desktop model Ф300mm
Microscope model that is ideal for R&D
Ф50mm
SiC/Si Power Device
MEMS
SAW Device
VM-1020/1030 series
Spectroscopic Film Thickness Measurement System
Desktop model
![Page 10: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/10.jpg)
Inspection System/Direct Imaging System
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.10
Can be used in a wide variety of processes from in-processinspections in the frontend wafer process,where flexibility is demanded, to final visual inspections,where speed is of the essence.
� World-leading 2.0µm high-resolution imaging
� Automatic image correction function for the optimum exposure
� The world’s fastest* “Quad Head Concept”
� Suitable for large substrates for FOPLP
� Equipped with Twin Drafting Head Utilizing Grating Light Valve (GLV™) and High-power YAG Lasers
� Handling of Warping and Non-linear Distortion Generated During Thinning and Attachment to Supporting Substrate
� Equipped with a Proprietary Optical System Designed for Thick Resist Processes
Ф300mm
ZI-2000
DW-3000
Wafer Pattern Inspection System
Ф75mm
High-speed, Superior Cost Performance
Ф200mm
Direct Imaging System for Advanced Packaging
Ideal for 3D Packaging,Bump, and Pillar Formation
DW-3000 for PLP
Direct Imaging System for Panel Level Packages
Direct Imaging Exposure Equipment for Panels, Featuring a Global State-of-the-Art 2.0 µm Resolution
600mm
Ф200mm
![Page 11: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/11.jpg)
Agenda
11 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. SCREEN as a product line-up
2. SOI and Alternate Application solution: test case of Laser anneal
3. All about uniformity nm control and process contr ol
4. How to integrate SOI product solutions within our own tools
5. Conclusion
Why are equipment manufacturer interested in participating in the SOI Consortium?
![Page 12: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/12.jpg)
Moving to 3D age…
12 SCREEN Semiconductor Solutions Co., Ltd.
From leti CoolCube Program
3rd dimension became a fundamental design freedom in Advance logic, memory, IOT …
Need for process solution capable of surface locali zed annealing without affecting buried structure
In Power Electronics, vertical device optimized the 3 rd dimension
since more than 10 years
Infineon IGBT evolution
SE-77-0651-L1
![Page 13: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/13.jpg)
LASSE LTA – Step & Repeat Process
SCREEN Semiconductor Solutions Co., Ltd.13
LASSE LTA process– Wavelength 308nm– Pulse ~160ns– Up to 9J per pulse– Beam size up to 26x36mm2
♦ Ultra localized annealing♦ Large temperature annealing capability♦ Controllable melting process
SE-77-0651-L1
![Page 14: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/14.jpg)
SCREEN Semiconductor Solutions Co., Ltd.14
LT-3100 for Monolithic 3D integration
P. Batude et al, VLSI 2011
♦ Ultra localized annealing on top layer:– Crystallization– Activation – Stress engineering
S. Kerdilès et al. in proceeding IWJT 2016
Ars
enic
junc
tion
Incr
easi
ng la
ser
ener
gy
SE-77-0651-L1
![Page 15: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/15.jpg)
SCREEN Melt laser simulation development
15 SCREEN Semiconductor Solutions Co., Ltd.
Simulating thermal, phases, diffusion dynamics during laser annealing
� Fully-owned Standalone software� Native 3D� Collaborating and developing
with top academic experts� Customer oriented� Optimized for LT technology
Laser integration supported byLASSE Innovation Booster
SE-77-0651-L1
![Page 16: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/16.jpg)
Monolithic 3D integration of MEMS/NEMS
16 SCREEN Semiconductor Solutions Co., Ltd.
Enable high temperature top layer annealing with BEOL temperature limitation (top layer bonded SOI or deposited) :
– Stress Engineering
– Activation
– Mechanical properties engineeringPressor Sensor (IWJT 2014)
Planar Light Valve (PLV)
LETI application a-Si to poly-Si Crystallization for
resonator formation gas detectors
SE-77-0651-L1
![Page 17: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/17.jpg)
Laser annealing for thin wafer Power Devices
17 SCREEN Semiconductor Solutions Co., Ltd.
♦ Need localize annealing for backside processing♦ Need melting process for defect free junction/conta ct♦ Need large temperature to integrate SiC substrate
Backside processing• Junction formation • Contact formation
SE-77-0651-L1
![Page 18: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/18.jpg)
Laser annealing for thin wafer Power Devices
18 SCREEN Semiconductor Solutions Co., Ltd.
Implanted Si
Ni deposited on thinned SiC
After melting laser annealing
Contact formed after laser annealing
♦ Backside activation in Si IGBT (>1000 °C)
♦ Backside contact formation in emerging SiC market
Melting Laser
Melting Laser
T., Toshiyuki, et al. "UV Excimer Laser Annealing for Next Generation Power Electronics." IIT, 2016
SE-77-0651-L1
![Page 19: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/19.jpg)
Monolithic 3D integration of BSI CIS
19 SCREEN Semiconductor Solutions Co., Ltd.
♦ Enable high temperature for imagers (BSI-CIS ensuring) monolithically formed on CMOS technology– “EPI-like” recrystallization – High Activation Rate with Melt Process– No Damage to burried structure
SE-77-0651-L1
![Page 20: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/20.jpg)
Agenda
20 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. SCREEN as a product line-up
2. SOI and Alternate Application solution: test case of Laser anneal
3. All about uniformity nm control and process contr ol– Particle control
- Process chamber technology- Physical cleaning
– Etch uniformity control– Wafer drying technology– Customer acknowledgement: FDSOI HVM Enabled Atomic-Scale Uniformity
4. How to integrate SOI product solutions within our own tools
5. Conclusion
Why are equipment manufacturer interested in partic ipating in the SOI Consortium?
![Page 21: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/21.jpg)
Particle control - Process chamber technology
� APAC (Advanced Process Atmosphere Control) technology
Air-protect tech. for fine Drying process Small Chamber for quick
refresh of the air
Cup-window-velocity is
boosted by the ASB.
Uniformed
Exhaust for all
Chem. Ports
Clean process area can be maintained by ASP.
21 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
Optimized Air-flow in
Chamber
![Page 22: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/22.jpg)
Particle control - Physical cleaning
2000 2005 2010 2015~
Technology node (nm) 65 4590
Softspray
Nanospray
Nanospray2
130180 20/16 10
NanosprayÅ
Dual fluid (Liquid & N2)• Softspray: Inside mixing• Nanospray/Nanospray2: Outside mixing
Furtherupgraded
NanosprayÅ2
Furtherupgraded
NanosprayÅ2
Well-controlleddroplet New concept
of physical clean
New concept of physical
clean
22 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
![Page 23: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/23.jpg)
Etch uniformity control - Nano control
23 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
♦ Nano control features
Center / middle High Pattern
� Special etching curve� Improved profile
No.1
32
4Heating System
1. Individual nozzle temperature control
2. Individual nozzle flow control
3. Adjustable dispense point
� Less chemical consumption
� Superior uniformity
� Unique etching curves
![Page 24: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/24.jpg)
Wafer drying technology
12 14 16 18 20 2210
1Xnm
(FG)
1Ynm
(FG/CT)
1Znm
(13~10nm,
CT)
Nanodry1
Nanodry2SMT
NanodryÅ
1Ynm
(16~14nm)
1Xnm
(19~17nm)
2Znm
NAND(2D)
DRAM
Line AR of STI
Generation
CMOSFin
10nm
7nm
5nm
2Xnm
(FG)
2Ynm
6 8
IPA dry
In case of STI
24
NanodryÅ+SMT
14nm
New technology
Nanodry1 plus
Improved
24 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
![Page 25: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/25.jpg)
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.25
Customer acknowledgement
FDSOI HVM Enabled Atomic-Scale Uniformity
▶▶▶▶ 28nm node FDSOI products thickness uniformity < ±±±±5 Å in HVM
▶▶▶▶ Using SCREEN’s leading edge HVM Single Wafer Clean technology
▶▶▶▶ JDP continues on advanced FDSOI products for 14nm/1 0nm node
+5 Å
-5 Å
Target
Soitec FD-2D wafer
Silicon lattice: 5.43 Å
SS-3100
SU-3200
![Page 26: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/26.jpg)
Agenda
26 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. SCREEN as a product line-up
2. SOI and Alternate Application solution: test case of Laser anneal
3. All about uniformity nm control and process contr ol
4. How to integrate SOI product solutions within our own tools
5. Conclusion
Why are equipment manufacturer interested in participating in the SOI Consortium?
![Page 27: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/27.jpg)
Era of Advanced Learning and Cognitive Manufacturin g
27
♦ Creating a Virtuous Cycle – From Process Equipment which enables manufacturing of
Advanced Electronics (IoT) including SOI technology . . .– To utilizing Advanced Electronics to enhance the features
and capabilities of Process Equipment.
Process Tool Suppliers
Device Manufacturers
System Level Products
End-Users
Advanced Electronics (IoT, SmartSensors,
Multifunction modules)
Process EquipmentSOI-based
Devices
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
![Page 28: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/28.jpg)
What Does this Enable?
28
♦ Combining SOI-based Advanced Electronics with Cloud Computing and Big Data– Embedded Smart systems on Process Equipment– Interfaced to Factory Management System– Algorithms (expert systems, model-based, etc.) to
• Extract knowledge from data, and • Take action (intervene automatically, adjust process within limits,
or notification with recommendation)
♦ New Capability to Enhance Manufacturing Effectivene ss– Real-time Data Collection and Monitoring of Process variables
on-tool– Advanced Fault Detection and Classification– Adaptive Process Control– Preventive Predictive Maintenance– Process results matching across
• Many chambers per tool• Tool to Tool in large fab installed base
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
![Page 29: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/29.jpg)
Benefits
29
♦ Product Consistency and Control– Process results are maintained within tighter tolerances
• Run to run of same device type• Device to Device on a common process flow
– Achieve higher Yields♦ Better Utilization of Fixed Assets
– Reduce downtime– Streamline maintenance cycles– Advanced warning of impending failure
• Schedule intervention instead of reacting to unplanned tool-down• Insure replacement part stocking and availability of resources to
address• Eliminate jeopardizing product from failure in mid-process run
♦ Continuous Improvement of Best Known Methods– Root cause analysis– Training algorithms for better proactive detection of Process shifts– Development of most effective Corrective Action Plans
SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
![Page 30: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/30.jpg)
Agenda
30 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. SCREEN as a product line-up
2. SOI and Alternate Application solution: test case of Laser anneal
3. All about uniformity nm control and process contr ol
4. How to integrate SOI product solutions within our own tools
5. Conclusion
Why are equipment manufacturer interested in participating in the SOI Consortium?
![Page 31: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/31.jpg)
Why are equipment manufacturer interested in participating in the SOI Consortium?
31 SE-77-0651-L1 SCREEN Semiconductor Solutions Co., Ltd.
1. We need to understand the specific challenges for SOI technology
2. What are the key differentiating needs within the SOI ecosystem
3. FDSOI of course but also RFSOI, …
4. Let’s discuss how we could impact and support!
Conclusion
![Page 32: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/32.jpg)
![Page 33: SCREEN Equipment Manufacturer: Full participation within ...soiconsortium.eu/wp-content/uploads/2017/02/SOI-Workshop_SCREEN-v3.1.pdf1 SE-77-0651-L1 SCREEN Semiconductor Solutions Co.,](https://reader030.fdocuments.us/reader030/viewer/2022040523/5e85b149bed84862d620eabd/html5/thumbnails/33.jpg)