Roll-to-Roll Atmospheric Atomic Layer Deposition of Al 2 O ...

8
DOI: 10.1002/cvde.201407126 Full Paper Roll-to-Roll Atmospheric Atomic Layer Deposition of Al 2 O 3 Thin Films on PET Substrates** By Kamran Ali, Kyung-Hyun Choi*, and Nauman Malik Muhammad The conventional atomic layer deposition (ALD) technologies are capable of fabricating supreme quality thin lms of a wide variety of materials, but sequential introduction and purging of precursors and inert gases prevent its application in the mass production of thin lms under atmospheric conditions. In this study, we introduce a novel technique of roll-to-roll atmospheric (R2R-A)ALD using a multiple-slit gas source head. Thin lms of Al 2 O 3 are developed on a movable web of polyethylene terephthalate (PET) substrate at 50 °C. The Al 2 O 3 deposition is carried out under a working pressure of 740 Torr, which is very near to atmospheric pressure (760 Torr). An appreciable growth rate of 0.98 A per cycle is observed at a carefully optimized web velocity of 7 mm s 1 . Good morphological, chemical, electrical, and optical characteristics are shown by the Al 2 O 3 lms produced at a large scale. Low root mean square roughness (R q ) values of 1.85 nm and 1.75 nm are recorded for the Al 2 O 3 lms deposited at 50 °C over 75 and 125 ALD cycles, respectively. The appearance of Al 2p, Al 2s, and O 1s peaks at the binding energies of 74 eV, 119 eV, and 531 eV, respectively, in the X-ray photoelectron spectroscopy (XPS) analysis conrms the fabrication of Al 2 O 3 lms, which is also supported by Fourier transform infrared spectroscopy (FTIR). The lms show excellent insulating properties, and optical transmittance of more than 85% is recorded in the visible region. Keywords: Al 2 O 3 , Atmospheric, FESEM, PET, R2R-AALD 1. Introduction ALD is a state-of-the-art thin lm deposition technology, capable of producing highly conformal, dense, and low porosity lms on a variety of substrates. [1,2] The application areas of ALD technology have been widely extended since it was rst introduced by Suntola and Antson. [3] Some of the major factors which are responsible for this extension are the production of lms at low temperatures (particularly for polymeric substrates), miniaturization of electronic devices, and the continually increasing group of the wide variety of materials that can be fabricated by ALD. [2,49] Most of the conventional ALD approaches involve a stationary reaction chamber. [10] These systems are based on a sequential introduction and purging of precursors and inert gases. A complete ALD cycle is composed of four timed controlled steps, i.e., (i) introduction of rst reactant into the reactor, (ii) purging of products and unreacted rst reactant, (iii) introduction of second reactant into the reactor, and nally (iv) purging of products and unreacted second reactant. Despite the fact that this approach is very efcient in producing supreme quality lms, there are limitations associated with it. The most notable of all is the time required to complete one ALD cycle. Scientic studies have reported that the cycle time may be as long as many minutes and result in deposition of lms with thickness of approximately one angstrom, which greatly reduces the efciency of ALD technology. [11] Apart from that, the conventional ALD is a vacuum-based technology and has rarely been reported to be used for the fabrication of thin lms under atmospheric pressure conditions. [12] The size and design of the ALD reactor also affects the processing parameters of the ALD process. A complex and large ALD reactor would require a long purging time to be effectively evacuated. [13] Also, a very advanced and sophisticated valving system is needed to operate the ALD system in a steady state. This results in the complexity of the ALD system, and also the composition of the precursors is constantly varied in the reactor. Furthermore, the process- able size of the stationary substrate in conventional ALD is very small due to which the ALD technology is unable to be used in applications requiring the cost-effective, large area, and mass production of thin lms. Recently, some research groups have reported new trends in ALD technology. The most interesting of them are spatial ALD and R2R-ALD. Dickey and Barrow demonstrated the R2R-ALD of Al 2 O 3 and TiO 2 moisture barrier lms on polymeric substrates. [14] Levy et al. demonstrated spatial ALD using a multiple-slit gas-source head. [15] Fitzpatrick et al. utilized a multiple-slit gas-source head to deposit Al 2 O 3 [*] K. Ali, Prof. K. Choi Department of Mechatronics Engineering, Jeju National University, Jeju 690-756, Korea E-mail: [email protected] Dr. N. M. Muhammad Department of Mechanical Engineering, King Abdulaziz University North Jeddah Branch, Jeddah, Kingdom of Saudi Arabia [**] This study was supported by a grant from the R&D Convergence Program of MSIP (Ministry of Science, ICT and Future Planning) and ISTK (Korea Research Council for Industrial Science and Technology) of Republic of Korea (CAP-10-1-KIMM). Chem. Vap. Deposition 2014, 20,18 © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 1 Final page numbers not assigned

Transcript of Roll-to-Roll Atmospheric Atomic Layer Deposition of Al 2 O ...

Page 1: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

DOI: 10.1002/cvde.201407126

Full Paper

Roll-to-Roll Atmospheric Atomic Layer Deposition ofAl2O3 Thin Films on PET Substrates**

By Kamran Ali, Kyung-Hyun Choi*, and Nauman Malik Muhammad

The conventional atomic layer deposition (ALD) technologies are capable of fabricating supreme quality thin films of a widevariety of materials, but sequential introduction and purging of precursors and inert gases prevent its application in the massproduction of thin films under atmospheric conditions. In this study, we introduce a novel technique of roll-to-roll atmospheric(R2R-A)ALD using a multiple-slit gas source head. Thin films of Al2O3 are developed on a movable web of polyethyleneterephthalate (PET) substrate at 50 °C. The Al2O3 deposition is carried out under a working pressure of 740Torr, which is verynear to atmospheric pressure (760Torr). An appreciable growth rate of 0.98 A

�per cycle is observed at a carefully optimized web

velocity of 7mm s�1. Good morphological, chemical, electrical, and optical characteristics are shown by the Al2O3 filmsproduced at a large scale. Low root mean square roughness (Rq) values of 1.85 nm and 1.75 nm are recorded for the Al2O3 filmsdeposited at 50 °C over 75 and 125 ALD cycles, respectively. The appearance of Al 2p, Al 2s, and O 1s peaks at the bindingenergies of 74 eV, 119 eV, and 531 eV, respectively, in the X-ray photoelectron spectroscopy (XPS) analysis confirms thefabrication of Al2O3 films, which is also supported by Fourier transform infrared spectroscopy (FTIR). The films show excellentinsulating properties, and optical transmittance of more than 85% is recorded in the visible region.Keywords: Al2O3, Atmospheric, FESEM, PET, R2R-AALD

1. Introduction

ALD is a state-of-the-art thin film deposition technology,capable of producing highly conformal, dense, and lowporosity films on a variety of substrates.[1,2] The applicationareas of ALD technology have been widely extended since itwas first introduced by Suntola and Antson.[3] Some of themajor factors which are responsible for this extension arethe production of films at low temperatures (particularly forpolymeric substrates), miniaturization of electronic devices,and the continually increasing group of the wide variety ofmaterials that can be fabricated by ALD.[2,4–9]

Most of the conventional ALD approaches involve astationary reaction chamber.[10] These systems are based ona sequential introduction and purging of precursors and inertgases. A complete ALD cycle is composed of four timedcontrolled steps, i.e., (i) introduction of first reactant into thereactor, (ii) purging of products and unreacted first reactant,(iii) introduction of second reactant into the reactor, andfinally (iv) purging of products and unreacted second

reactant. Despite the fact that this approach is very efficientin producing supreme quality films, there are limitationsassociated with it. The most notable of all is the timerequired to complete one ALD cycle. Scientific studies havereported that the cycle time may be as long as many minutesand result in deposition of films with thickness ofapproximately one angstrom, which greatly reduces theefficiency of ALD technology.[11] Apart from that, theconventional ALD is a vacuum-based technology and hasrarely been reported to be used for the fabrication of thinfilms under atmospheric pressure conditions.[12] The size anddesign of the ALD reactor also affects the processingparameters of the ALD process. A complex and large ALDreactor would require a long purging time to be effectivelyevacuated.[13] Also, a very advanced and sophisticatedvalving system is needed to operate the ALD system in asteady state. This results in the complexity of the ALDsystem, and also the composition of the precursors isconstantly varied in the reactor. Furthermore, the process-able size of the stationary substrate in conventional ALD isvery small due to which the ALD technology is unable to beused in applications requiring the cost-effective, large area,and mass production of thin films.

Recently, some research groups have reported new trendsin ALD technology. The most interesting of them are spatialALD and R2R-ALD. Dickey and Barrow demonstrated theR2R-ALD of Al2O3 and TiO2 moisture barrier films onpolymeric substrates.[14] Levy et al. demonstrated spatialALD using a multiple-slit gas-source head.[15] Fitzpatricket al. utilized amultiple-slit gas-source head to deposit Al2O3

[*] K. Ali, Prof. K. ChoiDepartment of Mechatronics Engineering, Jeju National University,Jeju 690-756, KoreaE-mail: [email protected]

Dr. N. M. MuhammadDepartment of Mechanical Engineering, King Abdulaziz University –

North Jeddah Branch, Jeddah, Kingdom of Saudi Arabia

[**] This study was supported by a grant from the R&D ConvergenceProgram of MSIP (Ministry of Science, ICT and Future Planning) andISTK (Korea Research Council for Industrial Science and Technology)of Republic of Korea (CAP-10-1-KIMM).

Chem. Vap. Deposition 2014, 20, 1–8 © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 1

Final page numbers not assigned

Page 2: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

films on silicon substrates.[12] Unlike the conventional ALDprocess, in which the two precursors are separated in time,in spatial ALD, the exposure of precursors has beenseparated in space. Instead of pulsing them into the reactor,the reactants are continuously supplied from the spatiallyseparated sources. In R2R-ALD, the flexible substrate iscontinuously moving relative to the precursor sources and,therefore, the deposition rate is determined by the speed ofthe substrate rather than the cycle time of the precursorexposure sequence. Since there is no requirement for pulsingand purging of precursors from a common volume, the growthrates of the deposited films are limited only by the surfacekinetics and the reaction rates of each half cycle. Also, there isno need to clean the reactor walls because the precursorsnever interact with each other and thus avoid any depositionon the reactor walls. Such distinguished characteristics give agreat edge and superiority to R2R-ALD technology toprocess various kinds of flexible substrates such as polyethyl-ene naphthalate (PEN), PET, and polyamide, etc., on a largescale in a very low processing time, and this results in veryhigh production at much lower costs.

In this research work we describe the fabrication of Al2O3

thin films through a novel technique of R2R-AALD usinga multiple-slit gas-source head. The Al2O3 ALD films weredeposited on a PET substrate using trimethylaluminum[Al(CH3)3, TMA] and water (H2O) at the low temperatureof 50 °C. This ALD approach has never been reportedbefore, and has great potential to be used in variousindustries, especially in the field of printed electronics whichinvolves the development of electronic devices underatmospheric conditions.[16–19] The main focus of this workis to study the growth characteristics, morphology, andchemical properties of the Al2O3 thin films producedthrough R2R-AALD. The conditions for the depositionprocess are investigated in terms of layer characterizationsincluding thickness, growth rates, surface morphology,chemical compositions, electrical characterization, andoptical properties.

2. Results and Discussion

2.1. Film Growth

The growth rate per cycle is one of the most importantcharacteristic features of any ALD technique. It providesessential information about the efficiency, reliability, and thetrue nature of the ALD process. In our study, the growthrates of Al2O3 thin films deposited by R2R-AALD weredetermined by measuring the film thickness as a functionof number of ALD cycles. Figure 1 shows thickness ofthe A12O3 film, deposited at 50 °C under the web velocityof 7mms�1, as a function of process cycles. A lineardependence between the film thickness and number ofprocess cycles has been verified for the R2R-AALD processand confirms that the thickness of the A12O3 film increases

by a fixed amount with each ALD cycle. The ALD headhas been designed in such a way that five ALD cycles areachieved when the PET substrate undergoes one completepass, i.e., the substrate is first translated forward and thentranslated backward. It means that one complete pass iscomprised of one complete forward and backward transla-tion of the substrate under the ALD head which results in 5ALD cycles. In this way, the thickness of the film is related tothe number of passes (cycles). Increasing the number ofpasses results in an increase of film thickness and thus therequired thickness can be achieved with great precision bycontrolling the number of passes. In our experimentation, aconstant growth rate of 0.98 A

�per cycle was recorded, which

means that one pass (five ALD cycles) would result in a filmthickness of�4.9 A

�. This achieved growth rate of 0.98 A

�per

cycle is slightly lower than in conventional ALD sys-tems,[11,20–22] but it is comparatively higher than otherreported values of the latest ALD technologies.[12] Thestudy also demonstrated that the amount of Al2O3 depositedduring the starting cycles of ALD is virtually the same as inthe later ALD cycles. This trend is mostly associated withthe ALD of metal oxides. Due to the ubiquity of OH groupson many kinds of substrates, the nucleation or initiation ofmetal oxide ALD is prompt and usually results in thegeneration of very uniform, continuous films.[23]

The self-limiting nature of the ALD process is expressedby the saturation in growth per cycle in relation to theexposure times of precursors.[10] In our R2R-AALD system,the exposure times of the precursors depends on the velocityof the moving web. The higher the web velocity, the lowerwill be the exposure time of the precursors and vice versa.Therefore, the optimized self-limiting ALD process wasconfirmed at 50 °C by measuring the film thickness againstthe web velocities. As shown in Figure 2, very highuncontrolled growth rates are recorded for the filmsdeposited under a web speed of more than 7mm s�1. This

Fig. 1. Thickness of deposited Al2O3 films on PET substrates versus thenumber of process cycles at 50 °C under the web velocity of 7mm s�1.

Full Paper

2 www.cvd-journal.de © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Chem. Vap. Deposition 2014, 20, 1–8

Final page numbers not assigned

Page 3: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

high and non-ALD growth rate occurs because, at this stage,there is insufficient time for complete desorption of excesswater from the substrate surface. This residual water givesrise to a CVD-type reaction due to which an increase ingrowth rate is recorded. Also, the residual water would reactwith the precursors and therefore, the film uniformity couldbe compromised. Therefore, the velocity of the web wasreduced, and a normal and stable growth rate of 0.98 A

�per

cycle was achieved under web velocities of less than7mm s�1. Now, the exposure times of the precursors aresufficient to complete the surface reactions, a stable ALDprocess has been accomplished, and there is sufficient time

for the removal of residual water so ALD films with gooduniformity are achieved.

The thickness homogeneity of the deposited Al2O3 filmswas also analyzed to confirm the true nature of ALD. Al2O3

films with thickness up to 90 nmwere deposited at 50 °C. Theellipsometric analysis was carried out at various locations onthe deposited samples. The results showed that the thicknessof the deposited films is quite uniform and homogenous.This proves that the present R2R-AALD is a true ALDprocess in which there is little or no incorporation of a CVDcomponent. The involvement of a CVD component wouldresult in non-uniform thickness of the film, but in a trueALD process such as ours, films with uniform thickness areproduced because of the self-limiting nature of the ALDprocess. Unlike ALD, CVD is a continuous process in whichall the reactants are supplied at the same time which resultsin increased growth rates but the level of film uniformity iscomparatively lower than in ALD films.

2.2. Surface Morphology

The surface morphology of the Al2O3 films deposited at50 °C by R2R-AALD on PET substrates was investigatedthrough field emission scanning electron microscopy(FESEM) and nano surface profiler. Figure 3a, b, and cshow the FESEM images of the bare PET substrate, Al2O3-coated PET over 75, and 125 ALD cycles, respectively. Theresults showed that very smooth and uniform Al2O3 filmshave been deposited on PET substrates via R2R-AALDunder the optimized set of process parameters. These resultsare quite similar to the surface morphology of the Al2O3

films deposited through a conventional ALD system.[24]

Fig. 2. Growth rates of the deposited Al2O3 films on PET substratesdeposited at 50 °C versus the web velocity.

Fig. 3. FESEM images of a) the bare PET substrate, b) Al2O3-coated PET at 50 °C over 75 ALD cycles, and c) Al2O3-coated PET at 50 °C over 125 ALD cycles.

Full Paper

Chem. Vap. Deposition 2014, 20, 1–8 © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim www.cvd-journal.de 3

Final page numbers not assigned

Page 4: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

The surface morphology was also investigated with a 3Dnano surface profiler. The 2D surface profile of the Al2O3

films grown at 50 °C over 75 and 125 ALD cycles are shownin Figure 4a and b, respectively. Low Rq values of 1.85 nmand 1.75 nm have been recorded for the Al2O3 filmsdeposited under 15 translations and 25 translations,respectively. These results support the FESEM analysisthat Al2O3 films with good surface morphology have beenproduced through the current technique of R2R-AALD.

A direct image of an Al2O3 film deposited at 50 °C byR2R-AALD on PET substrates over 75 ALD cycles is givenin Figure 5. The size of the presented sample is 20 cm� 9 cm.It is evident from the image that a large-scale, uniform, andtransparent Al2O3 thin film has been deposited efficientlyvia R2R-AALD. It suggests that the developed ALDtechnology has a great potential to be utilized for massproduction of a vast variety of ALD thin films.

2.3. Compositional Analysis

2.3.1. XPS Analysis

The Al2O3 films deposited on PET substrates at 50 °C viaR2R-AALD were subjected to XPS analysis in order toconfirm their chemical composition and impurity levels,and an XPS spectrum is presented in Figure 6. The mostimportant peaks, which represent the development ofAl2O3, are Al 2p, Al 2s, and O 1s. The spectrum of thedeposited Al2O3 film showed that the Al 2p, Al 2s, and O 1speaks appeared at the binding energies of 74 eV, 119 eV, and531 eV, respectively. According to the literature, the energyseparation between the Al 2p peak and O 1s peak should be457 eV which has been verified by the present XPS spectrumof the R2R-AALD-generated Al2O3 film.[25–29] The spec-trum also showed a carbon peak, appearing at a bindingenergy of 285 eV. The analysis suggests that the carbonpresent in the films is an adventitious carbon which is foundon the surface of most air-exposed samples. Even a smallexposure to atmosphere can result in the involvement ofadventitious carbon in the films.[30–33] The adventitiouscarbon can be cleaned by sputtering, and its concentration

can be reduced below the detection limits of XPS (i.e.,<1 at.-%). The presence of low carbon concentrationsresults in good electrical properties, which is obvious fromour study (Sec. 2.4).

2.3.2. FTIR Analysis

The functional groups present in the Al2O3 filmsdeposited on PET substrates at 50 °C via R2R-AALD wereexamined through FTIR. The FTIR spectrum of Al2O3 filmsdeposited at 50 °C is shown in Figure 7. The strong peaksobserved in the range 500 cm�1 to 900 cm�1 are attributedto the Al-O functional groups of amorphous Al2O3.

[34]

The peak at 1117 is ascribed to the functional group ofAl-O-Al.[35] In addition, the carbonyl group (C-O) hasappeared at 1250 cm�1, and the C����O group at 1710 cm�1,indicating the presence of carbon in the Al2O3 films.[36] Theband at 3670 cm�1 is attributed to the surface-bondedhydroxyl groups (O-H), which play an important role in thegrowth of Al2O3-grown ALD films.[37] It is obvious fromthe analysis that an amorphous Al2O3 thin film has beenfabricated via the present R2R-AALD technique at the lowtemperature of 50 °C.

Fig. 4. 2D surface profile of the Al2O3 films grown at 50 °C over a) 75 ALD cycles, and b) 125 ALD cycles.

Fig. 5. A direct image of an Al2O3 film deposited at 50 °C by R2R-AALD ona PET substrate over 75 ALD cycles. The size of the presented sample is20 cm� 9 cm.

Full Paper

4 www.cvd-journal.de © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Chem. Vap. Deposition 2014, 20, 1–8

Final page numbers not assigned

Page 5: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

2.4. Electrical Characterization

A semiconductor analyzer was used to observe thecurrent-voltage (I-V) characteristics of the R2R-AALD-grown Al2O3 films, deposited at 50 °C on PET substrates,and the results are shown in Figure 8. The measuredthickness of the Al2O3 thin film used for I-V characterizationwas 20 nm. The metallic contacts were carefully establishedthrough drop casting to prevent the Al2O3 film from damageby utilizing silver (Ag) ink (NPK Ink Ltd.). The distancebetween the two Ag metallic contacts was approximately5mm. The I-V measurements were carried out by placingboth probes of the semiconductor analyzer on the Ag

metallic contacts that were established on the surface of theAl2O3 film. The I-V analysis showed that the R2R- AALD-grown Al2O3 films were of an excellent insulating nature,having a dielectric strength of about 7.1 MV cm�1 andexhibiting very low current values under the applied voltage.These results prove that the Al2O3 films deposited throughR2R-AALD under the present set of parameters have greatpotential to be used in electrical device applications asinsulation layers.

2.5. Optical Properties

The Al2O3 thin films deposited by R2R-AALD at 50 °Con PET substrates were subjected to optical propertiesanalysis using UV-vis spectroscopy. The optical transmit-tance and optical absorbance results are shown in Figure 9.

Fig. 6. The XPS spectrum of a Al2O3 film deposited at 50 °C via R2R-AALDon PET substrates.

Fig. 7. The FTIR spectrum of a Al2O3 film deposited at 50 °C via R2R-AALD on PET substrates.

Fig. 8. The I-V characteristics of Al2O3 R2R-AALD films with thickness of20 nm grown at 50 °C on PET substrates.

Fig. 9. The optical properties of Al2O3 film coated on PET at 50 °C via R2R-AALD and a bare PET substrate.

Full Paper

Chem. Vap. Deposition 2014, 20, 1–8 © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim www.cvd-journal.de 5

Final page numbers not assigned

Page 6: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

The optical properties of the bare PET have also beenincluded for the sake of comparison. A very low absorbancein the visible regionwas revealed for the R2R-AALD-grownAl2O3 film. An optical transmittance of more than 90% wasobserved in the visible region for the Al2O3 films depositedon PET substrates. These analyses prove that the R2R-AALD-grown Al2O3 films deposited at 50 °C show promisefor use in electronic devices as passivation or protectivefilms.

3. Conclusion

In this paper, the development of Al2O3 thin films at 50 °Con movable web of PET substrates under very nearatmospheric pressure of 740Torr via a novel technique ofR2R-AALD using a multiple-slit gas-source head has beendemonstrated. A systematic investigation of growth rate wascarried out, and an appreciable growth rate of 0.98 A

�per

cycle was achieved at a carefully optimized web velocity of7mms�1. Very low values of Rq have been recorded for theAl2O3 films, indicating their good surface morphology. TheXPS and FTIR analyses confirmed that Al2O3 films havebeen grown at 50 °C via the present ALD system. TheAl2O3

films have excellent electrical and optical properties andhave great potential to be used as insulating and protectivefilms in electronic devices.

4. ExperimentalMultiple-Slit Gas-Delivery ALD Head: A multiple-slit gas-delivery head

has been developed for the R2R-AALD. The head is simple in design,

compact, and can be easily installed in any R2R-ALD system. There are threeinlets and one outlet at the top of the ALD head. Two of the inlets areconnected to the precursor channels, and one inlet is connected to the inertgas channel. The outlet is connected to the exhaust channel for the sake ofeffective purging. A series of 25 slits has been fabricated in the head, three foreach precursor, seven for the inert gas, and twelve for the exhaust (purging).The exhaust slits, with the dimensions of 3mm� 90mm, were chamfered at aspecific angle (53.13°) to provide a chimney-shaped design which efficientlyremoves the by-products and unreacted gases from the surface of thesubstrate. The dimensions of the precursor slits and the inert gas slits are1mm� 90mm. The precursor slits are separated from each other by the inertgas and exhaust slits, which effectively prevents them from intermixing.

The design of the head ensures that, during one complete forward andbackward translation of the substrate, five ALD cycles are completed. Asingle ALD cycle is represented as: I, E, A, E, I, E, B, E, and I, where Irepresents inert gas, E represents exhaust (purging), A represents firstprecursor, and B represents the second precursor.

The main purpose of the head is to successfully transport the precursorsand the inert gas to the surface of the substrate, and to make sure that thedesign prevents the intermixing of gases in the reaction zone. Also the by-products and the unreacted gases should be efficiently exhausted (purged out)from the reaction site. Simulations for the confirmation of these requirementshave been done, and the results are quite satisfactory. The simulations of thesaid head were carried out using commercial software COMSOL version 3.5a.114075 degrees of freedoms were solved using a mesh size of 32020. Tworeactants with different densities were considered for the simulations and airwas utilized as a separator gas. Since a wide variety of precursors and inertgases can be implemented through the ALD head, two different precursorsand air were considered for the simulations to verify the functionality of thedeveloped ALD head. The carrier of the precursors was not considered in thesimulations for the purpose of simplicity, else the simulation would become acomplex, multi-phase, multi-constituent simulation, and the chemical speciestransport model of COMSOL would have to be used. The model was dividedinto different subdomains for reactant A (density¼ 1.784 kgm�3), then air(density¼ 1.27 kgm�3), and then reactant B (density¼ 0.595 kgm�3). Thissequence followed on until the end of the head. Figure 10 shows the velocityvectors and velocity contours in the subdomains. We can see that the velocityis highest at the start of the purge to make sure of excellent purging. Thereactant inlets are described as “velocity inlet” in COMSOL as boundaryconditions, and purging exits are defined as “outlets”with a very low pressureto make sure of complete purging. The simulation results showed that there isno intermixing of gases over the surface of the substrate, and the results alsoshowed that the two precursors are purged out completely and they do not

Fig. 10. Simulation results of multiple-slit gas-delivery ALD head showing smooth transport of the gases to the reaction zone, separation of the precursors, andexcellent purging of the unreacted precursors and by-products.

Full Paper

6 www.cvd-journal.de © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Chem. Vap. Deposition 2014, 20, 1–8

Final page numbers not assigned

Page 7: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

mix with each other. Therefore, the designed ALD head can be utilized forR2R-ALD film deposition using a wide variety of precursors and inert gases.

Al2O3 Thin Films Deposition: The schematic of the R2R-AALDexperimental set-up used for the deposition of Al2O3 thin films is shownin Figure 11. The gas-delivery head had been installed over the movable PETsubstrate. The vertical motion of the head can be controlled by a micro gauge.The spacing between the head and the substrate was kept at �300mm. Thisspacing is extremely important for R2R-AALD. The tendency of gases tointermix increases with the increase in spacing between the head and thesubstrate.[15] The precursor channels, inert gas channel, and the exhaustchannel were connected to the head. Nitrogen (N2) gas was used both as acarrier gas and an inert separator gas. Two separate cylinders were used forthe nitrogen gas in order to achieve stable flow rates. The TMA and H2Oprecursors were kept at a constant 30 °C, and the gas delivery channels at100 °C, to prevent the recondensation of the precursors. The flow rates ofthe gases through the channels were effectively controlled through mass-flow controllers (MFCs). The TMA and H2O precursors were delivered tothe ALD head via N2 gas at 500 sccm and 800 sccm, respectively. The flowrate of the N2 separator gas was maintained at 1000 sccm in order toeffectively prevent the intermixing of the two precursors in the reactionzone. A rotary pump was used for purging of the waste gases. A vacuumgauge was used to monitor pressure beneath the ALD head. The pumpingspeeds of the rotary pump were set by adjusting the needle valves on theexhaust line. A pumping speed of 8 L min�1 was maintained to achieve theworking pressure of 740 Torr, which is very near to atmospheric pressure(760Torr). The tension in the movable web of PET was maintained at98.1N, and the substrate was moving at a velocity of 7mm s�1. A heatingplate was used to maintain the temperature of the substrate. The depositionof Al2O3 thin films was carried out at a very low temperature of 50 °C. ThePET substrate web, with a Rq value of 1.94 nm and width of 14.3 cm, wasused for the experiment.

The deposited Al2O3 films were carefully characterized through varioustechniques. The film thickness, and consequently the film growth rate, weremeasured using a Gaertner L116D ellipsometer. Film morphology andconformality were observed using a JSM-6700F FESEM and NanoView highaccuracy 3D nano non-contact surface profiler. The chemical composition andthe impurity level were analyzed using VG Microtech XPS analysisequipment using Mg Ka radiation from an X-ray source operating at12 kV, 15mA. A FTIR analyzer, (BrukerIFS66/S- Germany), was employed

to investigate functional groups present in the film. The UV characterizationwas performed using a Shimadzu UV-3150 UV/VIS/NIR spectrophotometer,and for electrical characterization, an Agilent B1500A Semiconductor DeviceAnalyzer coupled with a MST8000C Probe Station was used.

Received: March 19, 2014Revised: July 16, 2014

[1] P. S. Maydannik, T. O. Kaariainen, D. C. Cameron, Chem. Eng. J. 2011,171, 345.

[2] K. Grigoras, M. Y. Zavodchikova, A. G. Nasibulin, E. I. Kauppinen,V. Ermolov, S. Franssila, J. Nanosci. Nanotechnol. 2011, 11, 8818.

[3] T. Suntola, J. Antson, U.S. Patent 4058430 1977.[4] E. Langereis, M. Creatore, S. B. S. Heil, M. C. M. van de Sanden,

W. M. M. Kessels, M. C. Van De Sanden, Appl. Phys. Lett. 2006, 89,081915.

[5] A. P. Ghosh, L. J. Gerenser, C. M. Jarman, J. E. Fornalik, Appl. Phys.Lett. 2005, 86, 223503.

[6] J. S. Becker, E. Kim, R. G. Gordon, Chem. Mater. 2004, 16, 3497.[7] B. S. Lim, A. Rahtu, R. G. Gordon, Nat. Mater. 2003, 2, 749.[8] J. S. Becker, R. G. Gordon, Appl. Phys. Lett. 2003, 82, 2239.[9] Y. Du, S. M. George, J. Phys. Chem. C 2007, 111, 8509.

[10] K. H. Choi, K. Ali, C. Y. Kim, N.M.Muhammad,Chem. Vap. Deposition2014, 20, 1.

[11] M. D. Groner, F. H. Fabreguette, J. W. Elam, S. M. George, Chem.Mater. 2004, 16, 639.

[12] P. Ryan Fitzpatrick, Z. M. Gibbs, S. M. George, J. Vac. Sci. Technol. A2012, 30, 01A136.

[13] N. Taewook, K. J. Min, K. M. Kyu, K. Hyungjun, K. W. Hee, J. KoreanPhys. Soc. 2011, 59, 452.

[14] E. Dickey, W. A. Barrow, J. Vac. Sci. Technol. A 2012, 30, 021502.[15] D. Levy, S. Nelson, D. Freeman, J. Disp. Technol. 2009, 5, 484.[16] P. F. Carcia, R. S.McLean,M.D. Groner, A. A. Dameron, S.M.George,

J. Appl. Phys. 2009, 106, 023533.[17] S.Wagner, H. Gleskova, I. C. Cheng, M.Wu, Thin Solid Films 2003, 430,

15.[18] M. Mustafa, M. N. Awais, G. Pooniah, K. H. Choi, J. Ko, Y. H. Doh, J.

Korean Phys. Soc. 2012, 61, 470.

Fig. 11. The schematic of the R2R-AALD experimental set-up.

Full Paper

Chem. Vap. Deposition 2014, 20, 1–8 © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim www.cvd-journal.de 7

Final page numbers not assigned

Page 8: Roll-to-Roll Atmospheric Atomic Layer Deposition of Al               2               O               3               Thin Films on PET Substrates

[19] M. N. Awais, H. C. Kim, Y. H. Doh, K. H. Choi, Thin Solid Films 2013,536, 308.

[20] T. S. R. Matero, A. Rahtu, M. Ritala, M. Leskela, T. Sajavaara, ThinSolid Films 2000, 368, 1.

[21] S. Gieraltowska, D. Sztenkiel, E. Guziewicz, M. Godlewski, G. Luka,B. S. Witkowski, L. Wachnicki, E. Lusakowska, T. Dietl, M. Sawicki,Acta Physica Polinca A 2011, 119, 692.

[22] L. Zhang, H. C. Jiang, C. Liu, J. W. Dong, P. Chow, J. Phys. D. Appl.Phys. 2007, 40, 3707.

[23] J. Lu, Y. Lei, J. W. Elam, in: Noble Metals (Ed: Su. Yen-Hsun,), InTech,Croatia 2012.

[24] K. Ali, C. Y. Kim, K. H. Choi, J. Mater. Sci. Mater. Electron. 2014, 25,1922.

[25] S. Four, R. A. B. Devine, L. Vallier, J. Appl. Phys. 1998, 83, 5570.[26] F. Zhang, W. Yang, A. Pang, Z.Wu, H. Qi, J. Yao, Z. Fan, J. Shao,Appl.

Surf. Sci. 2008, 254, 6410.[27] J. Koo, S. Kim, S. Jeon,H. Jeon,Y. Kim, J. KoreanPhys. Soc. 2006, 48, 131.

[28] S. Gredelj, A. R. Gerson, S. Kumar, G. P. Cavallaro, Appl. Surf. Sci.2001, 174, 240.

[29] S. Y. No, D. Eom, C. S. Hwang, H. J. Kim, J. Electrochem. Soc. 2006, 153,F87.

[30] T. Hirvikorpi, M. V. Nissi, T. Mustonen, E. Liskola, Thin Solid Films2010, 518, 2654.

[31] J. W. Elam, D. A. Baker, A. J. Hryn, A. B. F. Martinson, M. J. Pellin,J. T. Hupp, J. Vac. Sci. Technol. A 2008, 26, 244.

[32] T. Gougousi, D. Barua, E. D. Young, G. N. Parsons, N. Carolina,R. V. May, V. Re, M. Recei, V. July, Chem. Mater. 2005, 89, 5093.

[33] G. Choi, L. Satyanarayana, J. Park, Appl. Surf. Sci. 2006, 252, 7878.[34] X. Li, Q. Chen, L. Sang, L. Yang, Z. Liu, Z. Wang, Phys. Procedia 2011,

18, 100.[35] N. P. Damayanti, J. Sol-Gel Sci. Technol. 2010, 56, 47.[36] W. F. Jiang, W. Wang, H. L. Wang, Z. Q. Li, Catal. Lett. 2009, 130, 463.[37] D. N. Goldstein, J. A. Mccormick, S. M. George, J. Phys. Chem. C 2008,

112, 19530.

Full Paper

8 www.cvd-journal.de © 2014 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Chem. Vap. Deposition 2014, 20, 1–8

Final page numbers not assigned