Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

171
University of Central Florida University of Central Florida STARS STARS Electronic Theses and Dissertations, 2004-2019 2006 Radiation Studies Of The Tin-doped Microscopic Droplet Laser Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To Euv Lithography Plasma Light Source Specific To Euv Lithography Chiew-Seng Koay University of Central Florida Part of the Electromagnetics and Photonics Commons, and the Optics Commons Find similar works at: https://stars.library.ucf.edu/etd University of Central Florida Libraries http://library.ucf.edu This Doctoral Dissertation (Open Access) is brought to you for free and open access by STARS. It has been accepted for inclusion in Electronic Theses and Dissertations, 2004-2019 by an authorized administrator of STARS. For more information, please contact [email protected]. STARS Citation STARS Citation Koay, Chiew-Seng, "Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To Euv Lithography" (2006). Electronic Theses and Dissertations, 2004-2019. 759. https://stars.library.ucf.edu/etd/759

Transcript of Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

Page 1: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

University of Central Florida University of Central Florida

STARS STARS

Electronic Theses and Dissertations, 2004-2019

2006

Radiation Studies Of The Tin-doped Microscopic Droplet Laser Radiation Studies Of The Tin-doped Microscopic Droplet Laser

Plasma Light Source Specific To Euv Lithography Plasma Light Source Specific To Euv Lithography

Chiew-Seng Koay University of Central Florida

Part of the Electromagnetics and Photonics Commons, and the Optics Commons

Find similar works at: https://stars.library.ucf.edu/etd

University of Central Florida Libraries http://library.ucf.edu

This Doctoral Dissertation (Open Access) is brought to you for free and open access by STARS. It has been accepted

for inclusion in Electronic Theses and Dissertations, 2004-2019 by an authorized administrator of STARS. For more

information, please contact [email protected].

STARS Citation STARS Citation Koay, Chiew-Seng, "Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To Euv Lithography" (2006). Electronic Theses and Dissertations, 2004-2019. 759. https://stars.library.ucf.edu/etd/759

Page 2: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

RADIATION STUDIES OF THE TIN-DOPED MICROSCOPIC DROPLET LASER PLASMA LIGHT SOURCE SPECIFIC TO EUV LITHOGRAPHY

by

CHIEW-SENG KOAY B.A. Physics, Gustavus Adolphus College, 1999

M.S. Physics, University of Minnesota-Twin Cities, 2001 M.S. Optical Science and Engineering, University of Central Florida, 2003

A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy in the College of Optics and Photonics

at the University of Central Florida Orlando, Florida

Spring Term 2006

Major Professor: Martin C. Richardson

Page 3: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

ii

© 2006 Chiew-Seng Koay

Page 4: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

iii

ABSTRACT

The extreme ultraviolet (EUV) lithography technology is being developed

worldwide, to have it ready by 2009 as the next generation lithography technology. The

technology will be used for high volume manufacturing of integrated circuit chips with

feature size smaller than 35 nm. There are many technical challenges that must be

overcome to make it viable for commercialization.

One major challenge to its implementation is the development of a 13.5 nm EUV

source of radiation that meets the requirements of current roadmap designs of the source

of illumination in commercial EUVL scanners. The light source must be debris-free, in a

free-space environment with the imaging EUV optics that must provide sufficient, narrow

spectral band EUV power to print 100 wafers/hr. To meet this need, the Laser Plasma

Laboratory (LPL) at CREOL is developing a laser plasma based on a tin-doped droplet

target. The research has two main programs—radiation and debris.

In the present work, optical techniques in the form of spectroscopy, radiometry,

and imaging, were employed to characterize and optimize the EUV emission from the

source. State of the art EUV spectrographs were employed to observe the source’s

spectrum under various laser irradiation conditions. Comparing the experimental spectra

to those from theory, has allowed the determination of the Sn ion stages responsible for

emitting the useful EUV. The prediction of the Collision-Radiative Equilibrium model

Page 5: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

iv

was also demonstrated in these studies. Moreover, extensive spectral measurements were

accomplished from 1 nm to 30 nm, which surveys nearly the entire electromagnetic

spectrum region defined as EUV.

Absolutely calibrated metrology was employed with the Flying Circus instrument

from which the source’s conversion efficiency (CE)—from laser to the useful EUV

energy—was characterized under various laser irradiation conditions. Hydrodynamic

simulations of the plasma expansion together with the CRE model predicted the condition

at which optimum conversion could be attained. The condition was demonstrated

experimentally, with the highest CE to be slightly above 2%, which is the highest value

among all EUV source contenders. In addition to laser intensity, the CE was found to

depend on the laser wavelength. For better understanding, this observation is compared to

results from simulations.

Through a novel approach in imaging, the size of the plasma was characterized by

recording images of the plasma within a narrow band, around 13.5 nm. The size,

approximately 100 μm, is safely within the etendue limit set by the optical elements in

the EUV scanner. Finally, the notion of irradiating the target with multiple laser beams

was explored for the possibility of improving the source’s conversion efficiency.

In the other research program, debris mitigation and debris characterization of the

source are also being carried out, and promising results have been demonstrated.

Page 6: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

v

For my parents.

Page 7: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

vi

ACKNOWLEDGMENTS

As is the case with most accomplishments, successful completion of this PhD

degree is not mine to acclaim; many people have provided support along the way. I want

to thank Dr. Martin Richardson, my advisor, for his support, guidance and insights, which

form the path of my PhD. Thanks to my committee members—Dr. James Harvey, Dr.

Eric Johnson, and Dr. Donald Malocha—for spending their valuable time in reading this

dissertation.

I appreciate the help of all who have been involved in the Laser Plasma

Laboratory research group during my time as a student in CREOL. They include:

Christian Keyser, Maan Al-Ani, Arnaud Zoubir, Robert Bernath, Kazutoshi Takenoshita,

Simi George, Tobias Schmid, Joshua Duncan, Christopher Brown, Ty Olmstead, Tony

Teerawattanasook, Jose Cunado, Troy Anderson, Michael Hemmer, Ji Yeon Choi,

Nicholas Barbieri, Dr. Moza Al-Rabban, Dr. Nikolai Vorobiev, and Dr. Etsuo Fujiwara.

Thanks to Dr. William Silfvast, Dr. Greg Shimkaveg, and Dr. Peter Delfyett, for their

inspiration. The kindness and helpfulness of the CREOL staff have in many ways

facilitated the graduate school and made it more enjoyable, especially to Courtney Lewis,

Richard Zotti, and Vicky Ortiz.

Success is empty without the love of family. My deepest thanks go to my wife

Hongyuan for her love and understanding. Finally, to my parents, thanks for their trust in

Page 8: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

vii

me, and their enduring love for their son who has gone to the other side of the world to

pursue his interest.

Page 9: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

viii

TABLE OF CONTENTS

LIST OF FIGURES ......................................................................................................... xiii

LIST OF TABLES............................................................................................................ xx

CHAPTER 1: INTRODUCTION....................................................................................... 1

1.1 Mirrors for EUV Lithography................................................................................. 5

1.2 References............................................................................................................... 7

CHAPTER 2: SOURCE CONCEPT ................................................................................ 12

2.1 Source Power Requirement................................................................................... 12

2.2 Review .................................................................................................................. 13

2.3 Laser Plasma for EUVL........................................................................................ 14

2.4 Formation of Laser Plasma ................................................................................... 20

2.5 Absorption Processes in Laser Plasma ................................................................. 23

2.5.1 Inverse Bremsstrahlung Absorption ......................................................... 24

2.6 Radiation Processes in Laser Plasma.................................................................... 25

2.6.1 Bound-Bound (Line emission).................................................................. 25

2.6.2 Free-Free (Bremsstrahlung)...................................................................... 27

2.6.3 Free-Bound (Recombination) ................................................................... 29

2.7 Relative Population of Sn Ion Stages.................................................................... 29

2.8 Hydrodynamic Code Simulation........................................................................... 31

2.8.1 Intensity for optimum EUV emission ....................................................... 32

Page 10: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

ix

2.9 Development of Radiation Modeling at Laser Plasma Laboratory ...................... 36

2.9.1 Non-LTE radiation transport modeling .................................................... 38

2.10 References............................................................................................................. 39

CHAPTER 3: SOURCE METHODS AND MATERIALS ............................................. 48

3.1 Source Creation..................................................................................................... 48

3.1.1 Precision interaction laser ......................................................................... 49

3.1.2 Measurement of beam diameter at focal region........................................ 50

3.1.3 Tin-doped droplet targets.......................................................................... 54

3.1.4 Interaction vacuum chamber..................................................................... 56

3.1.5 Droplet target imaging system.................................................................. 57

3.2 References............................................................................................................. 59

CHAPTER 4: INBAND SPECTROSCOPY .................................................................... 61

4.1 Methods and Materials.......................................................................................... 61

4.1.1 Flat-field spectrograph (FFS).................................................................... 61

4.2 Experiment............................................................................................................ 63

4.3 Results and Discussions........................................................................................ 64

4.3.1 Identifying Sn ion stages........................................................................... 69

4.3.2 Spot size dependence ................................................................................ 74

4.4 References............................................................................................................. 75

CHAPTER 5: OFF-BAND SPECTROSCOPY................................................................ 77

5.1 Methods and Materials.......................................................................................... 78

5.1.1 Transmission grating spectrograph ........................................................... 78

5.2 Experiment............................................................................................................ 82

Page 11: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

x

5.3 Results and Discussions........................................................................................ 83

5.4 Emission in the Ultraviolet, Visible, and Near Infrared ....................................... 88

5.5 References............................................................................................................. 89

CHAPTER 6: CALIBRATED METROLOGY................................................................ 91

6.1 Inband Energy Meter (The Flying Circus diagnostic) .......................................... 91

6.1.1 Use calibrated spectrometer as energy meter............................................ 95

6.2 Experiment............................................................................................................ 96

6.3 Calibration and Data Analysis .............................................................................. 98

6.4 Results and Discussions...................................................................................... 100

6.4.1 Conversion efficiency as function of focus position............................... 100

6.4.2 Conversion efficiency as function laser intensity ................................... 101

6.5 References........................................................................................................... 103

CHAPTER 7: SOURCE IMAGING AT INBAND WAVELENGTH........................... 103

7.1 Methods and Materials........................................................................................ 105

7.1.1 Detector and imager................................................................................ 105

7.2 Experiment.......................................................................................................... 106

7.3 Results and Discussions...................................................................................... 108

7.4 Confirmation by FC2 Visit ................................................................................. 108

7.5 Summary ............................................................................................................. 109

7.6 References........................................................................................................... 110

CHAPTER 8: TARGET IRRADIATION WITH 355 NM WAVELENGTH LASER.. 111

8.1 Methods and Materials........................................................................................ 112

8.1.1 Experimental setup.................................................................................. 112

Page 12: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xi

8.1.2 355 nm wavelength laser ........................................................................ 114

8.2 Experiment.......................................................................................................... 116

8.3 Results and Discussion ....................................................................................... 117

8.3.1 Conversion efficiency ............................................................................. 117

8.3.2 Spectra..................................................................................................... 120

8.3.3 Plasma hydrodynamic simulation for different laser wavelengths ......... 123

8.4 Summary ............................................................................................................. 125

8.5 References........................................................................................................... 126

CHAPTER 9: TARGET IRRADIATION WITH TWO LASER BEAMS .................... 127

9.1 Methods and Materials........................................................................................ 127

9.1.1 Experimental setup.................................................................................. 127

9.1.2 Beam splitting and energy control .......................................................... 129

9.1.3 Design of experiment.............................................................................. 130

9.2 Results and Discussions...................................................................................... 132

9.2.1 Single beam illumination ........................................................................ 132

9.2.2 Simultaneous two beams irradiation....................................................... 136

9.2.3 Angular dependence of single beam irradiation ..................................... 137

9.3 Summary ............................................................................................................. 140

9.4 References........................................................................................................... 140

CHAPTER 10: CONCLUSION AND FUTURE DIRECTIONS .................................. 141

10.1 Conclusion .......................................................................................................... 141

10.2 Future directions ................................................................................................. 142

10.2.1 Irradiation with longer wavelength laser ................................................ 142

Page 13: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xii

10.2.2 Extended studies on two-beam configuration......................................... 143

10.2.3 High power EUV source demonstration ................................................. 143

10.3 References........................................................................................................... 144

Page 14: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xiii

LIST OF FIGURES

Figure 1.1 Reducing illumination wavelength to improve image resolution...................... 2

Figure 1.2 Various sub-technologies and tools needed in a EUVL sytem ......................... 4

Figure 1.3 Theoretical reflectivity for a Mo/Si multilayer mirror, as calculated from CXRO, and a system of 8 mirrors. Here N is the number of bilayers, d is the thickness of a layer, Γ is the ratio of the thickness of Mo to Si and θ is the grazing angle of the incident light. ................................................... 6

Figure 2.1 Schematic of a EUVL system showing light energy propagation from the source, passing through the intermediate focus, until reaching the wafer. DMT is the debris mitigation scheme.................................................................... 12

Figure 2.2 Dependence of 4d-4f transition energies on atomic number Z [11]. ................................................................................................................................... 15

Figure 2.3 Theoretical spectra of the resonance transitions of Xe in ion stages Xe8+–Xe12+ Note that only Xe10+ contributes at 13.5 nm. [19] .............................. 17

Figure 2.4 Theoretical spectra of Sn in ion stages Sn7+–Sn12+. [24]................................. 18

Figure 2.5 EUV spectrum from laser plasma based on water droplet target [26].................................................................................................................................... 19

Figure 2.6 EUV spectrum from laser plasma based on lithium target [33] ...................... 19

Figure 2.7 Spatial profiles of the electron density, temperature and velocity are shown for a snap shot of an expanding laser plasma irradiated by a laser propagating from right to left. [39]................................................................... 23

Figure 2.8 EUV spectrum for Xe and Sn laser plasma, showing UTA structure [48]. .................................................................................................................... 27

Figure 2.9 Relative Sn ion population as a function of electron temperature for a tin plasma, with electron density of 9.8 × 1020 cm−3 ............................................... 30

Figure 2.10 MED 103 simulation result for tin-doped droplet target, showing the electron temperature and density at a time corresponding to the peak of the laser pulse................................................................................................. 34

Figure 2.11 The maximum Te as a function of laser intensity as predicted by MED 103...................................................................................................................... 35

Page 15: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xiv

Figure 2.12 MED 103 simulation result for pure tin planar target, showing the electron temperature and density at a time corresponding to the peak of the laser pulse [24 ] ........................................................................................................... 36

Figure 2.13 Relative energy levels in Sn8+and Sn10+ . [55, 61]......................................... 37

Figure 2.14 CRETIN calculated evolution spectral emission from lithium laser plasma, at laser intensity 5.0 x 1011 W/cm2. [60] ..................................................... 39

Figure 3.1 Precision Nd:YAG laser .................................................................................. 48

Figure 3.2 Optical layout of the precision Nd:YAG laser ................................................ 49

Figure 3.3 Far field beam profile of the precision laser.................................................... 50

Figure 3.4 Experimental setup to measure laser beam diameter. Top setup is for determining the optical magnification of the microscope objective, from the object plane to the image plane. Bottom setup, to measure beam diameter............................................................................................................................. 51

Figure 3.5 Image of calibrated object on the Spiricon CCD detector. The wire’s width at the image plane is 1326 μm. .................................................................... 52

Figure 3.6 The detailed map of the beam diameter near the focal region of an f = 10 cm lens............................................................................................................... 53

Figure 3.7 Ink-jet capillary module .................................................................................. 54

Figure 3.8 Photograph of a thin chain of droplet targets together with a cartoon of a laser beam focused on a droplet.................................................................... 55

Figure 3.9 Photograph of the vacuum chamber and the diagnostics connected to it ................................................................................................................... 56

Figure 3.10 Imaging system to observe droplet targets. The illumination system and the CCD detector are located outside the vacuum chamber. Droplet dimension is exaggerated..................................................................................... 57

Figure 3.11 The Luxeon V Star light-emitting diode, and its radiation spectrum centered in the green, approximately 530 nm. .................................................. 58

Figure 4.1 Photograph of the flat field spectrograph connected to vacuum chamber............................................................................................................................. 62

Figure 4.2 Schematic and working principle of the FFS .................................................. 63

Page 16: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xv

Figure 4.3 Focus scan along laser axis by translating the position of the lens. Droplet position is fixed. .......................................................................................... 64

Figure 4.4 Color coded image of the raw spectrum recorded by x-ray CCD camera. .............................................................................................................................. 65

Figure 4.5 (a) Spectra from tin-doped droplet target laser plasma for various laser intensities below 1.4 x 1011 W/cm2 at the target, and (b) Spectra from tin-doped droplet target laser plasma for various laser intensities above 1.4 x 1011 W/cm2 at the target. .............................................................. 66

Figure 4.6 (a) Spectra normalized to the peak of the oxygen line (at 13.0nm) for various intensities < 1.4 x 1011 W/cm2. Dotted lines I, II, III, and IV denotes the spectral features that respond sensitively to the variation in laser intensity. and (b): Spectra normalized to the peak of the oxygen line (at 13.0nm) for various intensities > 1.4 x 1011 W/cm2. The shape of the UTA, at the various intensities, remains the same. ...................................... 68

Figure 4.7 Cartoon of oscillator strength lines from Cowan code.................................... 70

Figure 4.8 An example of oscillator strength lines data from Cowan code. Horizontal axis is wavelength in nanometer. .................................................................... 71

Figure 4.9 Theoretical spectra obtained after convolving the oscillator lines in Figure 4.8 with Gaussian function of w = 0.05.................................................... 71

Figure 4.10 Comparison between experimental and theoretical spectra .......................... 72

Figure 4.11 Normalized spectra for laser intensities between 2.9 x 1011 and 1.4 x 1011 W/cm2 recorded with constant laser spot size.................................................. 75

Figure 5.1 Spectrum from laser plasma based on targets solid plastic spherical targets coated with tin. Note the intense and broad emissions below 10 nm. [1] ............................................................................................................... 77

Figure 5.2 Scanning electron micrograph of a free-standing 100-nm period grating (50 nm wide bars) ................................................................................................. 79

Figure 5.3 Schematic of the TGS...................................................................................... 79

Figure 5.4 Photograph of the TGS connected to the target chamber................................ 80

Figure 5.5 Transmission data obtained from CXRO for Al, Sn and Zr metal filters of thickness 500nm. ...................................................................................... 81

Figure 5.6 Color coded picture of the raw spectrum recorded by the CCD camera. .............................................................................................................................. 83

Page 17: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xvi

Figure 5.7 Complete spectrum from TGS ranging from 1 - 28 nm. Appearing in the spectrum are oxygen lines and the prominent Sn UTA. ....................... 84

Figure 5.8 Spectrum from 1nm to 10nm. Majority of structures are most likely due to Sn ions.......................................................................................................... 85

Figure 5.9 Spectrum from 7 - 12 nm showing the identified structures a through g. .......................................................................................................................... 85

Figure 5.10 Spectrum from 10 - 20 nm covering the 13.5nm UTA. ................................ 87

Figure 5.11 Spectrum from 20 - 28 nm............................................................................ 87

Figure 5.12 Spectra obtained for de-ionized water target and Sn-doped droplet target, under vacuum conditions. The difference in counts of the two spectra is due to the longer exposure time used for the pure water experiment. Feature identification of visible and near-IR region [8]. .............................. 88

Figure 5.13 Cowan calculations for OI, OII, and OIII transitions in the visible region [8] ............................................................................................................... 89

Figure 6.1 Schematic of the Flying Circus EUV energy meter ........................................ 92

Figure 6.2 Flying Circus connected to our vacuum chamber ........................................... 92

Figure 6.3 Mo/Si mirror reflectivity calibrated by NIST.................................................. 93

Figure 6.4 Transmission of Zr metal filter (500 nm thick) calculated from the CXRO website ............................................................................................................ 94

Figure 6.5 Transmission of Zr metal filter measured by NIST......................................... 95

Figure 6.6 Experimental setup. The EUV energy meter and the spectrograph view the plasma at 30 degrees and 90 degrees with respect to the incident laser beam...................................................................................................... 97

Figure 6.7 A photograph of the screen of an oscilloscope that contains the signal from the photodiode and the signal from the FC instrument. The laser pulse duration is actually 11.5 ns (FWHM) but appears broadened due to the reduced sampling bandwidth (20MHz) setting. ............................................... 98

Figure 6.8 CE as function of focal position. Inset diagram shows the relative positions of target and focal spot. ...................................................................... 101

Figure 6.9 Conversion efficiency of the tin-doped droplet target laser plasma source as a function of laser intensity................................................................. 102

Page 18: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xvii

Figure 7.1 Imaging concept ............................................................................................ 105

Figure 7.2 Schematic of the experimental setup............................................................. 106

Figure 7.3 Image of a needle tip ..................................................................................... 107

Figure 7.4 Inband images of the source as function of target position in the focal region ..................................................................................................................... 108

Figure 7.5 Cross sections of the source image (inset) measured by Flying Circus 2 team .................................................................................................................. 109

Figure 7.6 Inband source image recorded using two different schemes. The image from the FC2 instrument suffers from pixelation due to its low magnification of 2. .......................................................................................................... 109

Figure 8.1 Laser plasma system. Photograph shows the complete system, equipped with infrastructure to support the chamber lid, optical breadboard, various state-of-the-art instrumentations, optics and laser beam line, and data acquisition station. .......................................................................... 113

Figure 8.2 Schematic diagram of the experimental setup, consisting the laser beam line, vacuum chamber, diagnostics, and energy monitors. Each of diagnostics, the spectrograph and the Flying Circus, is aligned to the plasma and oriented with the same viewing angle (30 degrees) from the laser direction.................................................................................................................. 114

Figure 8.3 Mirror reflectivity vs. laser wavelength. Narrow band, high reflectivity at 45 degrees incidence for either S or P polarization. Data obtained from CVI laser website. ................................................................................... 115

Figure 8.4 The 355 nm laser beam diameter in the focal region of a plano-convex lens of f = 100 mm nominal. The minimum diameter is approximately 20 μm. ..................................................................................................... 116

Figure 8.5 The position of the focusing lens is adjustable along laser axis, with respect to the droplet position. The laser intensity irradiating the droplet target depends on the laser pulse energy and the beam diameter at which the droplet is located in the focal region. ............................................................. 117

Figure 8.6 Signal of the laser pulse and EUV pulse, as measured on an oscilloscope. Both are normalized at their peaks. The laser pulse appears broadened due to reduced sampling speed (20 MHz) setting. ........................................ 118

Figure 8.7 The source’s conversion efficiency, from laser energy into useful EUV, as a function of the focus position. The CE variation is nearly symmetrical. The dip at position zero corresponds to the position where

Page 19: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xviii

the droplet is located at the minimum beam spot. The CE is higher when the droplet target is at some distances from the minimum spot...................................... 119

Figure 8.8 Conversion efficiency as function of laser intensity. .................................... 120

Figure 8.9 Spectra for different laser intensities < 0.98 x 1012 W.cm-2. ......................... 121

Figure 8.10 Spectra for different laser intensities > 0.98 x 1012 W.cm-2. ....................... 121

Figure 8.11 Normalized spectra for different laser intensities < 0.98 x 1012 W.cm-2............................................................................................................................. 122

Figure 8.12 Normalized spectra for different laser intensities > 0.98 x 1012 W.cm-2............................................................................................................................. 122

Figure 8.13 Hydrodynamic expansion of the laser plasma based on the tin-doped target, under the same irradiation conditions except for the wavelength. The effect of three laser wavelengths, 0.35 μm, 1.0 μm and 10 μm, are compared............................................................................................................ 124

Figure 9.1 Two beam irradiation .................................................................................... 128

Figure 9.2 Experimental setup. Beam 1 and 2 are collinear but in opposing directions. The EUV energy meter and the spectrograph connect to the vacuum chamber at 30 degrees with respect to Beam 1 each......................................... 129

Figure 9.3 The optical assembly for controlling the energy in Beam 1 and 2....................................................................................................................................... 130

Figure 9.4 Scanning the lens position with respect to the target position, to vary the laser intensity irradiating the target................................................................... 133

Figure 9.5 (Top) Conversion efficiency measured for various positions of Lens 1 along the laser beam, with only Beam 1 irradiating the target. (Bottom) Conversion efficiency measured for various positions of Lens 2 along the laser beam, with only Beam 2 irradiating the target. ...................................... 134

Figure 9.6 Conversion efficiency as function of laser intensity for Beam 1 only (top), and Beam 2 only (bottom). ........................................................................... 135

Figure 9.7 Conversion efficiency as function of laser intensity for three cases: Beam 1 only, Beam 2 only, and two beams together. .......................................... 136

Figure 9.8 Conversion efficiency in polar coordinate for the case when only Beam 1 irradiates the target. ................................................................................... 138

Page 20: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xix

Figure 9.9 Polar plot of the conversion efficiency as function of viewing angle for all three cases................................................................................................... 139

Page 21: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

xx

LIST OF TABLES

Table 2.1 Input parameters for MED 103 code ................................................................ 33

Table 5.1 Observed and calculated wavelengths for the a to g arrays.[7]........................ 86

Table 8.1 Critical densities for different laser wavelengths ........................................... 123

Page 22: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

1

CHAPTER 1: INTRODUCTION

In 1965, Gordon Moore described the progress of semiconductor devices: “the

number of transistors on a computer chip doubles every two years”. Since then, his

observation, popularly known as Moore’s Law, has been the roadmap for both the chip

manufacturers and the suppliers of chip production tools. Computers have become

compact and increasingly powerful mainly because of lithography, an imaging process

that allows more and more circuits to be printed onto a computer chip.

The two fundamental relationships describing a lithography imaging system,

resolution (RES) and depth of focus (DOF), are given by

NAkRES λ

1= Eqn 1.1

and

22 NAkDOF λ

= Eqn 1.2

where λ is the wavelength of illumination used for imaging and NA is the numerical

aperture of the projection optics in the image field. The case k1 = k2 = 0.61 corresponds to

the Rayleigh definition of diffraction-limited imaging. The constants are largely

Page 23: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

2

dominated by the optical system, but in practice, are also dependent on photoresist

recording and processing.

The equations show that if smaller structures are needed, one can reduce k1,

increase the NA, or reduce the wavelength. All three approaches have been extensively

used during the last two decades as illustrated in Figure 1.1. The current lithography

technology, which uses deep ultraviolet light of 193 nm wavelength from the argon-

fluoride excimer laser, has been pushed just about as far as it can go [1]. Semiconductor

manufacturers must find a new horse, of lithography, upon which to depend in the next

generation of microchip manufacturing.

Figure 1.1 Reducing illumination wavelength to improve image resolution

The technologies competing to be the next generation lithography technology

include 193nm immersion, nanoimprint, electron beam projection, electron beam direct

write, maskless lithography, and EUV lithography. The winning solution will not only

Page 24: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

3

include a technical demonstration of working devices, but also an economic advantage

and projected profit margins. Among other choices, EUV lithography is the leading

candidate.

In comparison with the currently used deep UV lithography, EUVL improves

resolution by using drastically shorter wavelength. Along with this benefit, however,

many new challenges arise as well [2]. For example, because transmissive optics cannot

be used to focus EUV light, the only means are by reflective optics. In particular, the

wavelength of illumination is chosen to be 13.5 nm due to the availability of

molybdenum-silicon multilayer coated mirrors. Such mirrors can provide the highest

reflection coefficient up to 70% at 13.5 nm, at normal incidence.

The earliest papers proposing the use of EUV for projection lithography were

published in the late 1980s [3, 4, 5]. The semiconductor industry expects high-volume

manufacturing using the EUV lithography (EUVL) technology to support 100 nm

imaging, by the year 2009, [2, 6]. Eventually this technology is expected to produce chips

with feature sizes smaller than 35 nm [7]. Ref 8 gives comprehensive descriptions of the

various sub-technologies needed in a scanner, as shown in Figure 1.2

Page 25: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

4

Figure 1.2 Various sub-technologies and tools needed in a EUVL sytem [9]

At present in 2006, there is a concerted international effort in developing the tools,

including the light source, needed for EUVL. The light can be obtained from a variety of

sources including laser plasma, discharge plasma, synchrotron radiation, and high-

harmonic generation with femtosecond laser pulses. Only the first two have been under

development for EUVL when the issues of output power, manufacturing costs and source

lifetime are taken into consideration [10, 11].

Regarding the source’s performance, there are two key issues: radiation and

debris. First, the source must provide sufficient power at the operating wavelength to

yield the required wafer throughput, i.e. the number of wafers printed per hour. Second, it

Page 26: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

5

must operate in a scheme that can prevent the delicate and costly condenser mirrors from

suffering the deleterious effects of target debris emanated from the plasma.

1.1 Mirrors for EUV Lithography

All the optics in a EUV lithography scanner will be based on reflective elements.

For a collector, normal incidence mirrors would be more advantageous compared to those

of grazing incidence because large solid angle collector can be built from normal

incidence mirror. However, materials have low reflectivity at normal incidence in the

EUV. With the advances in multilayer mirror technology, normal incidence mirrors

having high reflectivity can be built.

Because the multilayer mirror operates by the principles of constructive

interference, its reflectivity is narrow band. The multilayer coating is fabricated with

alternating thin film layers of low and high index of refraction materials. The low index

material generally has low atomic number (low Z) and is referred to as a spacer because

its absorption coefficient is much lower than that of the high index, high Z material.

Multilayer mirror having reflectivity as high as 70% at 13.5 nm, and a narrow

band of 0.5 nm, has been achieved [12]. The mirror coating is based on Molybdenum-

Silicon multilayers. The wavelength of peak reflectivity may be varied by adjusting the

layer spacing, although the wavelength of choice is currently set at 13.5 nm.

Page 27: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

6

Figure 1.3 Theoretical reflectivity for a Mo/Si multilayer mirror, as calculated from CXRO, and a system of 8 mirrors. Here N is the number of bilayers, d is the thickness of a layer, Γ is the ratio of the thickness of Mo to Si and θ is the grazing angle of the incident light.

Figure 1.3 shows the theoretical reflectivity of the Mo-Si multilayer mirror. The

data was calculated from the website hosted by the Center for X-ray Optics (CXRO). It

shows a peak reflectivity of 74%, and a bandwidth of 0.6 nm, for single reflection from

the mirror. A EUV scanner is expected to have eight of these mirrors between the source

and the photoresist. Therefore, after eight bounces, the final reflectivity is significantly

low, with a narrower bandwidth. The light power within this bandwidth, defined at its

FWHM, is the useful EUV that would finally get to the photoresist.

Page 28: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

7

1.2 References

1 B. Fay, “Advanced optical lithography development, from UV to EUV,”

Microelectronic Engineering 61–62 (2002) 11–24

2 C. Gwyn et. al.., “Extreme ultraviolet lithography,” J. Vac. Sci. Technol. B 16 (6),

Nov/Dec 1998, pp. 3142-3149

3 A. M. Hawryluk and L. G. Seppala, J. Vac. Sci. Technol. B 6, 2162 (1988)

4 T. Silfvast and O. R. Wood II, Microelectron. Eng. 8, 3 (1988)

5 H. Kinoshita, K. Kurihara, Y. Ishii, and Y. Torii, J. Vac. Sci. Technol. B 7, 1648

(1989).

6 SEMATECH Annual Report 2004,

http://www.sematech.org/corporate/annual/annual04.pdf

7 P. Naulleau, K. Goldberg, E. Anderson et. al.., “EUV microexposure at the ALS

using the 0.3- NA MET projection optics,” Proc. SPIE, v. 5751, (2005), p. 56 – 63

8 J. E. Bjorkholm, “EUV Lithography—The Successor to Optical Lithography,”

available at www.intel.com/technology/itj/q31998/pdf/euv.pdf

9 C.Gwyn, “EUV Lithography Transition from Research to Commercialization”,

Photomask Japan 2003

10 R. Lebert, K. Bergmann, G. Schriever, W. Neff, “A gas discharged based

radiation source for EUV-lithography,” Microelectronic Engineering, vol. 46, pp.

449-452 (1999)

Page 29: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

8

G. Schriever, M. Rahe, W. Neff, K. Bergmann, R. Lebert, H. Lauth, D. Basting,

“Extreme ultraviolet light generation based on laser-produced plasmas (LPP) and

gas-discharge-based pinch plasmas: a comparison of different concepts,” Proc.

SPIE, vol. 3997, pp. 162-168 (2000)

A.P. Shevelko, L.V. Knight, O.F. Yakushev, “Capillary discharge plasmas as a

source of EUV and soft X-ray radiation,” Proc. SPIE, vol. 4144, pp. 68-75 (2000)

M.A. Klosner, W.T. Silfvast, “High-temperature lithium metal-vapor capillary

discharge extreme-ultraviolet source at 13.5 nm,” Applied Optics, vol. 39, pp.

3678-3682 (2000)

N. Fornaciari, J. Chang, D. Folk, S. Gianoulakis, J. Goldsmith, G. Kubiak, B.

Long, D. O'Connell, G. Shimkaveg, Silfvast et al., “Development of an electric

capillary discharge source,” Proc. SPIE, vol. 3997, pp. 120-125 (2000)

J. Pankert, K. Bergmann, J. Klein, W. Neff, O. Rosier, S. Seiwert, C. Smith, R.

Apetz, J. Jonkers, Loeken et al., “Physical properties of the HCT EUV source,”

Proc. SPIE, vol. 4688, pp. 87-93 (2002)

I. Fomenkov, R. Ness, I. Oliver, S. Melnychuk, O. Khodykin, N. Bowering, C.

Rettig, J. Hoffman, “Performance and scaling of a dense plasma focus light

source for EUV lithography,” Proc. SPIE, vol. 5037, pp. 807-821 (2003)

M. McGeoch, C. Pike, “Star pinch scalable EUV source,” Proc. SPIE, vol. 5037,

pp. 141-146 (2003)

Page 30: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

9

J. Pankert, K. Bergmann, J. Klein, W. Neff, O. Rosier, S. Seiwert, C. Smith, S.

Probst, D. Vaudrevange, Siemons et al., “Status of Philips' extreme UV source,”

Proc. SPIE, vol. 5374, pp. 152-159 (2004)

U. Stamm, “Extreme ultraviolet light sources for use in semiconductor

lithography-state of the art and future development,” J. Phys. D (Applied Physics),

vol. 37, pp. 3244-3253 (2004)

T. Krucken, K. Bergmann, L. Juschkin, R. Lebert, “Fundamentals and limits for

the EUV emission of pinch plasma sources for EUV lithography,” J. Phys. D

(Applied Physics), vol. 37, pp. 3213-3224 (2004)

Y. Teramoto, H. Sato, K. Bessho, G. Niimi, T. Shirai, D. Yamatani, T. Takemura,

T. Yokota, K. Paul, Kabuki et al., “High-power and high-repetition-rate EUV

source based on Xe discharge-produced plasma,” Proc. SPIE, vol. 5751, pp. 946-

953 (2005)

U. Stamm, J. Kleinschmidt, K. Gabel, G. Hergenhan, C. Ziener, I. Ahmad, D.

Bolshukhin, V. Korobotchko, A. Keller, Geier et al., “Development status of gas

discharge produced plasma Z-pinch EUV sources for use in beta-tools and high

volume chip manufacturing tools,” Proc. SPIE, vol. 5751, pp. 848-858 (2005)

J. Pankert, R. Apetzl, K. Bergmann, G. Derra, M. Janssen, J. Jonkers, R. Klein, T.

Krucken, A. List, Loeken et al., “Integrating Philips' extreme UV source in the

alpha-tools,” Proc. SPIE, vol. 5751, pp. 279-290 (2005)

11 G. Kubiak, L. Bernardez, K. Krenz, “High-power extreme ultraviolet source

based on gas jets,” Proc. SPIE, vol. 3331, pp. 81-89 (1998)

Page 31: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

10

M. Richardson, D. Torres, C. DePriest, F. Jin and G. Shimkaveg, “Mass-limited,

debris-free laser-plasma EUV source,” Optics Communications, vol. 145, pp.

109-112 (1998)

L. Rymell, L. Malmqvist, M. Berglund and H. M. Hertz, “Liquid-jet target laser-

plasma sources for EUV and X-ray lithography,” Microelectronic Engineering,

vol. 46, pp. 453-455 (1999)

G. Kubiak, L. Bernardez, K. Krenz, W. Sweatt, “Scale-up of a cluster jet laser

plasma source for extreme ultraviolet lithography,” Proc. SPIE, vol. 3676, pp.

669-678 (1999)

M. Segers, M. Bougeard, E. Caprin, T. Ceccotti, D. Normand, M. Schmidt and O.

Sublemontier, “Development of a laser-produced plasma source at 13.5 nm for

the French extreme ultraviolet lithography test bench,” Microelectronic

Engineering, vol. 61-62, pp. 139-144 (2002)

C-S. Koay, C. Keyser, K. Takenoshita, E. Fujiwara, M. Al-Rabban, M.

Richardson, I. Turcu, H. Rieger, A. Stone, J. Morris, “High-conversion-efficiency

tin material laser-plasma source for EUVL,” Proc. SPIE, vol. 5037, pp. 801-806

(2003)

J. Hoffman, A. Bykanov, O. Khodykin, A. Ershov, N. Bowering, I. Fomenkov, W.

Partlo, D. Myers, “LPP EUV conversion efficiency optimization,” Proc. SPIE, vol.

5751, pp. 911-920 (2005)

M. Richardson, C. Koay, S. George, K. Takenoshita, R. Bernath, M. Al-Rabban,

Page 32: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

11

H. A. Scott, V. Bakshi, “EUV source parameters of the laser-produced tin-doped

micro-plasma,” SPIE Microlithography Symposium (San Jose, CA, USA, 2005),

1-4 March 2005.

M. Richardson, C. Koay, S. George, K. Takenoshita, R. Bernath, T. Schmid, M.

Al-Rabban, V. Bakshi, “Cost-effective laser-plasma sources for EUVL,” SPIE

Microlithography Symposium (San Jose, CA, USA, 2006), 19–24 February 2006.

12 S. Bajt, J. B. Alameda, T. W. Barbee, Jr., W. M. Clift, J.A. Folta, B.B. Kaufmann,

and E. A. Spiller, “Improved reflectance and stability of Mo/Si multilayers,” Proc.

SPIE 4506, pp.65-75 (2001)

Page 33: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

12

CHAPTER 2: SOURCE CONCEPT

2.1 Source Power Requirement

Because of the high wafer throughput requirement of 100 wafers per hour, which

is to ensure that EUVL is economically feasible, source developers have concentrated

their efforts to improving the level of EUV output power. At least 115 watt of inband

EUV power is required at the so-called intermediate focus [1], which is located at the

entrance of the illumination optics in a EUV lithography scanner, as shown in Figure 2.1.

The inband power refers to a 2% spectral bandwidth, which is equivalent a width of 0.27

nm and centered at 13.5 nm.

Figure 2.1 Schematic of a EUVL system showing light energy propagation from the source, passing through the intermediate focus, until reaching the wafer. DMT is the debris mitigation scheme.

Although the power requirement at the intermediate focus has been decided, the

requirement at the source is not. Amount of light collectable from the source depends on

the size and geometry of the source. These properties are specific to the method of

Page 34: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

13

plasma formation used in the scanner. The tool manufacturers have not finalized which

type of source would be used in a commercial scanner.

As mentioned earlier, both laser plasmas and discharge plasmas are being

developed by various groups in the world. Significantly less EUV source power is

required from laser plasma. Because its size is smaller than the other, from the principle

of etendue conservation, up to 5 sr of its light can be collected—about 3 times more than

that of a discharge system [2]. In a discharge plasma system, the collection angle is

limited by the discharge architecture. Instead of emitting the radiation in all directions, its

emission is confined to within a cone, and thus only small collection angle collector

optics can be used. The estimated power requirements from the sources are 350 W and 1

kW (of inband EUV power radiated from the source into solid angle of 2π steradian) for

the laser plasma and the discharge plasma, respectively [2, 3].

2.2 Review

Two approaches are being used to improve the source’s EUV output power. One

is by increasing the source’s repetition rates, and the other is by optimizing the source’s

conversion efficiency (CE). For laser plasma, useful EUV is converted from laser energy;

for discharge plasma, it is from electrical energy.

Although the discharge plasma sources are efficient, because the electrical energy

is directly converted to EUV, their electrode lifetime and the achievable output power are

limited. Increasing the repetition rate causes tremendous heat accumulation on the

electrode. If heat is not removed efficiently, this can melt the electrode and destroy the

Page 35: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

14

source [4, 5, 6]. Hence, thermal management and electrode lifetime are major problems

to overcome.

On the other hand, laser plasma is a promising approach because of its physical

principle. Since the laser energy is delivered to the source through optical coupling, the

laser and its associated infrastructure can be located separate from the scanner. The

discharge source, on the other hand, requires much of its cooling and power system to be

integral. Depending on geometry and laser architecture, a laser plasma source can easily

extend to greater dose stability because the source’s repetition rate increases conveniently

beyond 10 kHz [7, 8, 9, 10]. As a result, the EUV output power from laser plasma can

easily be scaled upward to meet the high throughput requirement.

2.3 Laser Plasma for EUVL

Having a suitable laser plasma concept is important to obtaining high CE, high

repetition rate and low debris. This involves using the right material as the target so that

copious emission in the EUV can be obtained. The target delivery system must be able to

generate fresh targets at a high repetition rate. And the target constituents, geometry and

architecture, must produce minimal amount of debris after interacting with the laser pulse.

The wavelength of intense emission from laser plasma depends upon the atomic

number, Z of the target material. Figure 2.2 shows that the 4d-4f transition energy

depends on Z, according to Ref. 11. For efficient radiation near 13 nm (photon energy 92

eV), laser plasmas of target material having Z close to 50 would be advantageous.

Page 36: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

15

Figure 2.2 Dependence of 4d-4f transition energies on atomic number Z [11].

Laser plasma that uses tin (Sn, atomic number = 50) as the target material

produces intense emission around 13.5 nm [12, 13]. However, tin target was thought

unsuitable as a source material in a EUV lithography system because it produces copious

debris when used in conventional metallic form.

This led to the utilization of xenon (Xe, atomic number = 54) with which a

number of laser plasma schemes have been investigated for EUVL [14, 15, 16, 17, 18].

They include the high density, pulsed or continuous cluster targets, liquid jets or liquid

droplets targets. Most of the source developers favored xenon because of the perception

that the inert gas has a better potential to succeed as a debris-free source although for

xenon plasma, only one ion stage (Xe10+) [14, 19, 20, 21] contributes to the in-band

Page 37: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

16

emission at 13.5 nm as compared to tin plasma, which has many (Sn7+ to Sn12+)[12, 13,

22]. Figure 2.3and Figure 2.4 are the theoretical oscillator strength (gf value) calculated

from the Cowan suite of codes [23] for xenon [19] and tin [24] ions. Attempts have also

been made to use water targets [25, 26, 27, 28, 29], from which line emission at 13.0 nm

is produced by oxygen ion stage O5+ (Figure 2.5).

To date, both the xenon and the water laser plasma sources showed conversion

efficiencies of less than 1.0% into 2%BW×2π×sr, a value that makes achieving the power

requirement difficult with the available laser technology [30], which puts out an average

power of 4.5 kW at 5 kHz repetition rate. Quoting the conversion efficiency in the units

of “percent of energy radiated into solid angle of 2π steradian and within a 2% spectral

bandwidth at 13.5 nm” is a convention among the EUV source community.

The source development based on water target has been abandoned, and although

xenon persists, many source developers are switching to tin due to its high efficiency of

emission into 13.5 nm. Recently there is a new interest to revive lithium laser plasma [31,

32], which has a line emission at 13.5 nm [33, 34], as shown in Figure 2.6.

Page 38: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

17

Xe8+

Xe9+

Xe10+

Xe11+

Xe12+

Xe8+

Xe9+

Xe10+

Xe11+

Xe12+

Figure 2.3 Theoretical spectra of the resonance transitions of Xe in ion stages Xe8+–Xe12+ Note that only Xe10+ contributes at 13.5 nm. [19]

Page 39: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

18

Figure 2.4 Theoretical spectra of Sn in ion stages Sn7+–Sn12+. [24]

Page 40: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

19

Figure 2.5 EUV spectrum from laser plasma based on water droplet target [26]

Figure 2.6 EUV spectrum from laser plasma based on lithium target [33]

Page 41: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

20

Since 1992, the Laser Plasma Laboratory at UCF has been investigating laser

plasmas based on the mass-limited targets, using water/ice as target material [25, 35, 36].

Mass-limited approach leads to low debris operation, by using targets created in the form

of microscopic liquid droplets. The plasmas created from such targets have demonstrated

extended operating lifetimes without significant debris contamination [37].

In our new approach, we incorporate tin with the mass-limited concept, from

which we create the tin-doped microscopic droplet targets. These droplets can easily be

produced at high repetition rates, beyond 10 kHz. Details about the target will be

described in the next chapter. The advantage of this approach is that we combine all the

best features needed for a successful source: high CE, high repetition rate and low debris.

This is the source whose radiation is studied and reported in this dissertation.

2.4 Formation of Laser Plasma

A laser beam, focused at sufficient intensity on a target, can produce very high

temperature, high density plasma, which are localized in a small spatial volume. In fact,

the electron density (i.e. the density of free electrons) and the electron temperature of

such plasma can reach values as high as ne ≈ 1020 −1023 cm−3 and Te ≈ 102 −103 eV (1 eV

≈ 11600 K), respectively. These properties make laser plasma an ideal media for efficient

conversion of the impinging laser energy into x-ray radiation. The typical laser pulse

durations range from some tens of nanosecond down to some hundreths of femtosecond.

The intensity on the target is in the range 1010−1019 W/cm2. The duration of the x-ray

emission is comparable to that of the laser pulse.

Page 42: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

21

Plasma formation begins at the laser-target surface through multiphoton

absorption, which produces free electrons. The target material is heated as the impinging

laser electromagnetic field accelerates these free electrons causing collisions with the

target. As the target surface is rapidly heated, it evaporates and forms a layer of vapor at

the surface. Collisions between the target vapor and free electrons lead to ionization of

the vapor and thus plasma formation. The particles, ions or atoms, near the free electrons

are either ionized to the next ionization stage or excited to a higher excitation level in the

same ionization stage. As the plasma rapidly expands, it continues to absorb laser energy.

The propagation of electromagnetic wave in a plasma is influenced by the

presence of the free electrons and follows this dispersion relation [38]

2222peck ωω += Eqn 2.1

The electron plasma frequency, ωpe is given by

e

epe m

en 22 4 ⋅⋅=

πω Eqn 2.2

where ne and me are the electron density and the electron mass respectively. The group

velocity of the wave in the plasma is thus

2

2

1ωωω pe

g cdkdv −== Eqn 2.3

The relation implies that an electromagnetic wave of frequency ωL can propagate inside

the plasma only in regions where ωL > ωpe, which corresponds to regions where the

electron densities

Page 43: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

22

24 emnn Le

cre ⋅⋅

=<πω Eqn 2.4

The quantity ncr(ωL) is called the critical density for frequency ωL. In terms of

wavelength λL, the critical density is

22

224

L

oecr e

mcnλ

επ= Eqn 2.5

2

213

][1012.1][m

cmnL

cr μλ×

=− Eqn 2.6

For a Nd:YAG laser with λL = 1.064 μm, the critical density is about 1021 cm-3.

The laser beam penetrates into the plasma only up to the critical density, where it is

reflected. The superposition of the incident and reflected waves produces a large

amplitude field near the critical density. Due to the relatively high density at the point of

reflection and the large amplitude of the electromagnetic field, most of the laser energy is

absorbed near the critical density. Though the laser cannot penetrate into region where

the electron density is higher than the critical density, more target material is ablated

through conduction of the absorbed laser energy to the target surface.

Figure 2.7 is a schematic showing some basic laser plasma parameters in space

[39]. Near the critical density surface is the absorption front, where the temperature is

very high. Most of the x-ray emission is generated in the region between the absorption

front and the radiation ablation front, where there is a relatively high density and

temperature.

Page 44: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

23

Figure 2.7 Spatial profiles of the electron density, temperature and velocity are shown for a snap shot of an expanding laser plasma irradiated by a laser propagating from right to left. [39]

2.5 Absorption Processes in Laser Plasma

In laser plasma, the laser energy is absorbed by the target material at its surface,

followed by generation of high temperature, high density plasma on the surface. Light

wave propagating through the plasma will be be absorbed, scattered, refracted, and

reflected by it. The major absorption processes in laser plasma are free-free absorption

(or inverse bremsstrahlung absorption, IBA), bound-free absorption (photoelectric

absorption), and bound-bound absorption (resonance and line absorption).

The most efficient mechanism for converting the laser energy to the plasma

thermal energy is collisional absorption or IBA [39, 40]. The conversion can be up to

100% given an appropriate plasma density gradient and temperature. In comparison with

Page 45: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

24

IBA, resonance absorption is very ineffective in converting laser energy into thermal

energy. For the laser plasma considered in this study, IBA is the most contributing factor.

Other absorption mechanisms for laser plasmas in general are described in Ref. 40.

2.5.1 Inverse Bremsstrahlung Absorption

The electric field of the laser’s electromagnetic wave causes the free electrons in

the plasma to oscillate at the so-called quiver velocity

Leq m

Eevω⋅

= Eqn 2.7

The energy in this oscillatory motion is absorbed by the plasma as thermal energy

through the collisions between the electrons and the ions. The fraction of the incident

energy absorbed from the electromagnetic wave after traversing a distance L is given by

)exp(1 LkIBabs ⋅−−=α Eqn 2.8

where kIB is the IBA absorption coefficient, given by [39]

22

2/3

271

1

1][

ln1010.3][

⎟⎟⎠

⎞⎜⎜⎝

⎛−⋅

⋅⋅

⋅Λ⋅×= −−

L

peL

e

eIB eVT

nZcmk

ωω

ω

Eqn 2.9

Here ln Λ is the Coulomb logarithm, which is related to the minimum impact parameter,

and Z is the average charge per ion.

The expression for the IBA coefficient shows the absorption mechanism is

efficient when there are high electron density, low temperature, a highly ionized plasma,

Page 46: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

25

and short laser wavelength. Most of the laser energy is deposited near critical density

given these conditions and a plasma scale length that is not too short.

At high laser intensities, IBA is diminished through two different ways. First, the

electrons would oscillate faster at higher intensities, causing the electrons’ effective

temperature to increase and in turn diminishes IBA. The other is due to the electrons’

velocity distribution departing from Maxwellian at high intensity.

2.6 Radiation Processes in Laser Plasma

In laser plasma, the radiated photons are not usually in equilibrium with the

material particles in the plasma. Therefore its radiation cannot completely be described

by blackbody radiation. Once the plasma has gained thermal energy, radiation is emitted

via bound-bound, free-free, and free-bound mechanisms. The first mechanism produces

line emission because of transitions between discrete levels of the ionized atoms. The

second mechanism gives rise to a continuum electromagnetic spectrum when free

electrons in the plasma interact with the Coulomb potential of the ions. The third

mechanism also produces a continuum spectrum when free electrons recombine with

ionized atoms.

2.6.1 Bound-Bound (Line emission)

In this mechanism, radiation occurs when a transition is made between two bound

states of an ion, of energies Ei and Ef , that generates a line emission at a frequency νo

described by hνo = Ei − Ef . Line emission comes from both resonance transitions

(transitions from excited states to the ground state) and transitions between excited states.

Page 47: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

26

Resonance transitions are the stronger of the two types. The spectral line shape can be

influenced by the natural lifetime for the transitions between the two states, the motion of

the emitting ion and its interactions with the plasma particles and fields.

Line emission is the most important radiation process in hot dense plasmas,

particularly in intermediate and high-Z plasmas in which the ions are not fully ionized

[41]. As the number of ion stages increases, the spectrum from such plasma becomes

very complex; many line emissions in some parts of the spectrum become so dense and

closely spaced that their spacing is smaller than their linewidths. Consequently they

become unresolvably close to each other, and form an unresolved transition array (UTA)

[41, 42]. Assigning any characteristic wavelength to the lines within a UTA is difficult

because (i) there too many lines to identify the individual transitions and (ii) the

individual lines cannot be resolved within the limits of spectrographic instrument.

The UTA in the EUV region emitted by plasma of a range of elements have been

studied [43, 44]. The most prominent UTA of tin and xenon are located near 13.5 nm [13,

45] and 11 nm respectively [20, 46, 47], as shown in Figure 2.8. The xenon spectrum is

obtained from xenon gas puff target, while the tin spectrum is obtained solid tin planar

target [48].

Page 48: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

27

Sn

Xe

Sn

Xe

Figure 2.8 EUV spectrum for Xe and Sn laser plasma, showing UTA structure [48].

2.6.2 Free-Free (Bremsstrahlung)

Bremsstrahlung radiation occurs when a free electron is accelerated by a

scattering interaction with the electric field of an ionized atom. Because the electron is

free before and after the scattering event, the radiation mechanism is referred to as a free-

Page 49: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

28

free transition. This process is particularly important for plasmas produced by irradiating

low-Z targets, where the plasma mostly consists of fully stripped ions, from which little

line emission can occur.

The spectral energy density (energy per volume per frequency) due to

Bremsstrahlung is given by [39]

⎟⎟⎠

⎞⎜⎜⎝

⎛−

⋅⋅

⎟⎟⎠

⎞⎜⎜⎝

⎛=

eBe

e

eeBB Tk

hcmneZ

mTkW νππν exp

32

332

3

262/1

Eqn 2.10

or numerically in terms of energy per volume per wavelength,

( )][ exp1

)(102 41

22/1

227 −−−

⎟⎟⎠

⎞⎜⎜⎝

⎛⋅

−⋅

×= cmergsTk

hcKT

nZW

eBe

eB λλλ Eqn 2.11

The radiation is a continuum spectrum and depends strongly on the electron

density, ionic charge, and the electron temperature. The expression implies the peak of

the spectrum occurs for λmax[nm] = 620/Te[eV]. The functional form of the expression is

similar to that of blackbody radiation. This is because plasmas behave to a certain degree

as if they were in thermal equilibrium and have an emission spectrum similar to that of

black body radiation. The wavelength where maximum emission occurs for blackbody

radiation is given by λmax[nm] = 250/Te[eV], which is significantly shorter than for

Bremsstrahlung.

Page 50: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

29

2.6.3 Free-Bound (Recombination)

In this mechanism, radiation is emitted by an initially free electron when it is

captured by a (Z+1)-fold ionized atom, leading to a transition to a bound state of a Z-fold

ionized atom. The energy of the photon emitted is

nZEKEh +=ν Eqn 2.12

where KE is the initial kinetic energy of the electron. The other term on the right hand

side is the energy of the final atomic state, Z is the ion charge and n is the principal

quantum number. Since the initial electron energies can take values over a contimuum,

the radiation is emitted in a continuum spectrum. The spectrum of recombination

radiation satisfies the condition hν ≥ EZn, and will therefore have sudden jumps

representing recombination into different energy levels.

The spectral intensity of the recombination continuum can be expressed in terms

of that of bremsstrahlung as [39]

eB

H

B

r

TkEZ

WW 2

4.2≈ Eqn 2.13

It shows that for low-Z and/or high-temperature plasmas, bremsstrahlung emission

overcomes recombination emission.

2.7 Relative Population of Sn Ion Stages

The radiation emitted from plasma depends on the interplay of a number of

physical processes involving atomic physics, collisional and radiative ionization,

Page 51: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

30

recombination, excitation and deexcitation. To simulate the radiation emission and

energy transport, all these processes taken into consideration for numerous energy levels

and ionization stages. If the duration time of a laser produced plasma is much longer than

the electron-ion relaxation time within the plasma (which is of the order of 10 ps), a

collisional-radiative equilibrium (CRE) regime can be established. In CRE, collisional

ionization and excitation are balanced by radiative and three-body recombination [49].

High-temperature, high-density laser plasma is most appropriate to be modeled with the

CRE model [50] from which it is possible to calculate the relative ion stage population in

the plasma as a function of the electron temperature and density.

Sn6+Sn10+ Sn13+

Rel

ativ

e io

n po

pula

tion

Sn6+Sn10+ Sn13+

Rel

ativ

e io

n po

pula

tion

Figure 2.9 Relative Sn ion population as a function of electron temperature for a tin plasma, with electron density of 9.8 × 1020 cm−3 [51].

Page 52: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

31

Figure 2.9 is the relative ion stage population in the plasma as a function of the

electron temperature, at electron density equals to 80% of the critical density (1 x 1021

cm-3) [51]. It is known from the Cowan code result in Figure 2.4 that ion stages Sn9+,

Sn10+, and Sn11+ have the most crowded, intense oscillator lines in the 13.5 nm region,

and thus they would radiate strongly in that region. Therefore, to optimize the radiation,

the presence of those ions stages must be optimized, which requires plasma temperatures

approximately between 30 eV and 45 eV.

The plasma temperature can be controlled by adjusting the laser intensity at which

the target is irradiated. There should be a range of incident laser intensities that

corresponds to that range of temperature. Hydrodynamic simulations will be used to

determine this relationship.

2.8 Hydrodynamic Code Simulation

Radiation from laser plasma depends on the plasma conditions such as electron

temperature and electron density, which are influenced by the laser and target parameters.

In developing an efficient laser plasma source, an important question we want to answer

is: At what laser intensity should our target be irradiated to obtain the optimum EUV

emission?

The MED 103 code was used to simulate the hydrodynamic expansion of our

laser plasma. This is a well-known code, developed from an original code by

Christiansen et. al. [52]. It has been widely used in many laboratories for simulating the

hydrodynamic expansion of laser plasmas for many different plasma conditions. This

Page 53: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

32

one-dimensional, 90-cell Lagrangian code models the laser plasma coupling physics,

electron and radiation transport. Energy balance transport from one cell to the next is

calculated in a series of time steps. In each cell the electron and ion densities,

temperatures, the average ion state and many other plasma parameters are determined.

The ions in the code are always considered to have a perfect gas equation of state, usually

with electron density obtained from the Fermi-Dirac distribution. The user adjusts laser

and target parameters: laser pulse duration, intensity, wavelength, pulse shape, target

atomic mass and composition.

2.8.1 Intensity for optimum EUV emission

For laser plasma based on solid tin target of planar geometry, some predictions for

the laser intensity at which optimum EUV emission occurs were made in early 1990s. By

assuming that the plasma radiates as a blackbody, Silfvast et. al. derived its radiation

efficiency for a specific emission wavelength (13 nm) and bandwidth (0.3 nm) as a

function of laser intensity [53]. The maximum efficiency was predicted to occur at

intensity slightly less than 2 x 1011 W/cm2. Another prediction was made by computer

simulation, which calculated the conversion efficiency within a narrowband (2.2 eV) near

13 nm as a function of laser intensity [54]. The maximum efficiency is found to occur at

intensity around 1 x 1011 W/cm2.

However, the predictions may not apply for the tin-dopet droplet target, whose tin

concentration and target geometry are very different from that of solid tin planar target.

Therefore decision was made to generate some simulations on the tin-doped droplet

target to determine the intensity for optimum EUV emission.

Page 54: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

33

MED 103 code was used to observe the maximum electron temperature as a

function of laser intensity: from 1 x 1010 – 3 x 1013 W/cm2. The laser and the target

parameters were chosen to resemble experimental condition. Laser: an 11.5 ns duration

Gaussian pulse at 1064 nm wavelength. Target: a 35 μm diameter sphere containing 30%

tin by mass.

Table 2.1 Input parameters for MED 103 code

Pulse width(FWHM) = 11.5ns beam waist = 35microns , I =1.0e11W/cm2 Sn salt spherical target 35um diam geom - 3 layers ************************************************ $newrun xamda1=1.06e-6, gauss=1.0, anpuls=1.0, toff=1.0e-1, plenth=7.0e-9, pmax=3.0626e5, pmult=1.5, ngeom=3, piq(55)=0.0, teini=1.0e4, tiini=1.0e4, mesh=90, rini=17.5e-6, RHOGAS=2440, RF1=0.9600, XZ=11.71, XMASS=24.76, FNE=1.0, ZGLAS=0, DRGLAS=0, ROGLAS=0, RF2=0.99999, XZ2=0, XMASS2=0, FNE2=0.0, ZPLAS=0, DRPLAS=0, ROPLAS=0, RF3=0.99999, XZ1=0, XMASS1=0, HYDROG=0.0, NPRNT=-1000.0,TSTOP=20.0E-9, NRUN=1300000, AK0=100.0, AK2=0.25, AK3=0.25, AK4=0.25, PONDF = 0.0, NP3=1, NLEMP=F, NLPFE = T, STATE = 3.0, NLABS=T, NLBRMS=T, FLIMIT=10, SAHA=0.0, ANABS=0.05, FHOT=0.1, FTHOT= -1.0, RHOT=1.0, ICXRL=0, IFRSTA=1, ILOSTA=1, IHISTA=3, ISTAGE=2, IDFLG=0, ROPMUL=0.0, ITBFLG=1, ISTFLG=0, IPUFLG=0, TPON=2.15E-10, TPOFF=2.85E-10, NLP=1, NUP=3, RMPD=0.002, DLAMDA=1.298E-6, NLMAX=2, FLSHORT=0.001, FLLONG=0.02, $END

Figure 2.10 is a simulation result of the electron temperature and density at the

time corresponding to the peak of the laser pulse. The horizontal axis is the distance

Page 55: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

34

measured from the center of the target. The result shows that the absorption (i.e. mainly

inverse Bremsstrahlung) region of the plasma, which is located at distances from the

center of the target and below the critical electron density (1021 cm-3), has expanded to fill

the laser spot at target region. The electron temperature reaches a maximum value around

the same region.

Figure 2.10 MED 103 simulation result for tin-doped droplet target, showing the electron temperature and density at a time corresponding to the peak of the laser pulse

1.0E+18

1.0E+19

1.0E+20

1.0E+21

1.0E+22

1.0E+23

1.0E+24

0 50 100 150 2000

10

20

30

40

50Ne

TeTe, max

T e(e

V)

Elec

tron

den

sity

(cm

-3)

R (μm)

0 ns

Laser direction

1.0E+18

1.0E+19

1.0E+20

1.0E+21

1.0E+22

1.0E+23

1.0E+24

0 50 100 150 2000

10

20

30

40

50Ne

TeTe, max

T e(e

V)

Elec

tron

den

sity

(cm

-3)

R (μm)

0 ns

1.0E+18

1.0E+19

1.0E+20

1.0E+21

1.0E+22

1.0E+23

1.0E+24

0 50 100 150 2000

10

20

30

40

50Ne

TeTe, max

T e(e

V)

Elec

tron

den

sity

(cm

-3)

R (μm)

1.0E+18

1.0E+19

1.0E+20

1.0E+21

1.0E+22

1.0E+23

1.0E+24

0 50 100 150 2000

10

20

30

40

50Ne

TeTe, max

T e(e

V)

Elec

tron

den

sity

(cm

-3)

R (μm)

0 ns

Laser direction

Page 56: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

35

Figure 2.11 The maximum Te as a function of laser intensity as predicted by MED 103.

When the maximum electron temperature (Te,max) is plotted against laser intensity,

the relation is found.to be a smooth function as shown in Figure 2.11. In the CRE model

discussed previously, the range of plasma temperature that would give optimum emission

at 13.5 nm is between 30 eV and 45 eV. Then according to the new result, within this

temperature range the laser intensity would be approximately 1 x 1011 W/cm2.

Figure 2.12 is the result of hydrodynamic simulation for a 100 μm thick, solid tin

planar target [24], under the same irradiation conditions as those for the tin-doped droplet

target (Figure 2.10). The differences in the temperature and the density profile of the two

targets are significant, due to differences in the target geometry as well as tin

concentration. For pure tin target, the plasma scale length is very much longer and the

temperature very much hotter than that of the doped target. For the doped target, the

0

20

40

60

80

100

1.0E+10 1.0E+11 1.0E+12

Te, m

ax (e

V)

Intensity (W/cm2)

0

20

40

60

80

100

1.0E+10 1.0E+11 1.0E+12

Te, m

ax (e

V)

Intensity (W/cm2)

Page 57: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

36

temperature profile shows that the plasma cools off very quickly as it expands outward

into the vacuum.

R (μm)

Te (e

V)

n e(c

m-3

)

R (μm)

Te (e

V)

n e(c

m-3

)

Figure 2.12 MED 103 simulation result for pure tin planar target, showing the electron temperature and density at a time corresponding to the peak of the laser pulse [24 ]

2.9 Development of Radiation Modeling at Laser Plasma Laboratory

Detailed understanding of the complex UTA emission from Sn laser plasmas is

important to the development of efficient 13.5 nm source. In a parallel research effort, the

Laser Plasma Laboratory at UCF is also developing a comprehensive theoretical

modeling approach to laser plasma sources, utilizing state-of-the-art hydrodynamic and

radiation transport plasma codes [55, 56, 57, 58, 59, 60].

Page 58: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

37

Laser plasma coupling physics, electron transport and plasma expansion is treated

with the MED 103, which was described in the previous section. Besides having

predicted the laser irradiation condition for optimum emission in the case of the tin-doped

target, this model has been compared to experimental determinations of the plasma

electron density distributions, arising from laser plasma based on water droplet target [9,

55]. Basic atomic physics of ion emission is deduced by the use of COWAN and FAC

codes, from which a complete atomic physics data for Sn ion in the 13.5 nm emission

region have been calculated [55, 61]. The relative energy levels for a two Sn ions are

shown in Figure 2.13, and the data on Sn ion oscillator strengths were shown in the

previous sections.

Figure 2.13 Relative energy levels in Sn8+and Sn10+ . [55, 61]

Page 59: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

38

2.9.1 Non-LTE radiation transport modeling

One principal challenge in understanding the plasma and radiation physics of high

Z material-based EUV sources is the development of a capability that could accurately

predict the spectral emission within the useful EUV region (13.365 nm - 13.635 nm).

Because line emissions are predominant from the plasma, this requires a complete

characterization of the energy flow within the energy band structure of those ion stages

within the plasma. Moreover, the means through which the spectral emission can be

quantitatively estimated must be developed.

This is an exceedingly complex problem especially for discharge sources that are

inherently three dimensional. Since laser plasma based on spherical targets can be

considered one-dimensional to the first order, it simplifies the computation challenge.

Nonetheless, the complexity of the radiation transfer involving multitude of excited states

of ion stages still presents many problems.

The approach taken in this development is to use codes that are multi-dimensional,

and treat the plasma evolution in the non-LTE, time dependant regime. CRETIN code [55,

62 ] is a multi-dimensional, LTE/non-LTE atomic kinetics radiation transfer code,

developed at Lawrence Livermore National Laboratory (LLNL) for modeling laser

plasmas. Energy transfer processes from laser to target such as inverse bremsstrahlung

and resonance absorption, temporal evolution of plasma determined by the hydrodynamic

and electron temperature calculations, and detailed calculations on atomic populations are

all coupled to be included in CRETIN simulations. Description of plasma matter at the

atomic level and transition strengths are supplied to the code as external files.

Page 60: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

39

Figure 2.14 CRETIN calculated evolution spectral emission from lithium laser plasma, at laser intensity 5.0 x 1011 W/cm2. [60]

While the code is currently being developed to model the emission spectra for tin-

doped target, it has produced some synthetic spectra of laser plasma from lithium, which

is a simpler element [60]. Shown in Figure 2.14 are calculated spectra from lithium laser

plasma, at input laser intensity of 5.0 x 1011 W/cm2 for a plasma diameter of 50 μm. The

predicted line emission at 13.5 nm is the characteristic emission from H-like Li2+ ion,

which was also observed experimentally and reported in Ref. 60 .

2.10 References

1 Y. Watanabe, K. Ota and H. Franken, 2004 Joint requirements—ASML, Canon,

Nikon Proc. CD. SEMATECH EUV Source Workshop (Santa Clara, CA, USA,

(22 February 2004)

Page 61: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

40

2 U. Stamm, “Extreme ultraviolet light sources for use in semiconductor

lithography—state of the art and future development,” J. Phys. D: Appl. Phys. 37

(2004) 3244–3253

3 V. Banine and R. Moors, “Plasma sources for EUV lithography exposure tools,”J.

Phys. D: Appl. Phys. 37 (2004) 3207–3212

4 O. Rosier, R. Apetz, K. Bergmann, et. al., “Frequency Scaling in a Hollow-

Cathode-Triggered Pinch Plasma as Radiation Source in the Extreme Ultraviolet,”

IEEE Trans. Plasma Sci. vol. 32, (2004)

5 U. Stamm, I. Ahmad, V. M. Borisov, et. al.., “High power EUV sources for

lithography —A comparison of laser produced plasma and gas discharge

produced plasma,” Proc. SPIE Vol. 4688 (2002) pp. 122-133

6 W. Partlo, I. Fomenkov, D. Birx, “EUV (13.5nm) Light Generation Using a

Dense Plasma Focus Device,” Proc. SPIE Vol. 3676 (1999), pp.846-858

7 F. Jin and M. Richardson, “New laser plasma source for extreme-ultraviolet

lithography,” Appl. Optics, v. 34 (1995), pp. 5750-5760

8 L. Malmqvist, L. Rymell, and H. M. Hertz, “Droplet-target laser-plasma source

for proximity x-ray lithography,” Appl. Phys. Lett. 68 (19), 6 May 1996

9 C. Keyser, R. Bernath and M. Richardson, “Laser light coupling physics in high

repetition rate laserplasma droplet-target x-ray point sources,” Proc. SPIE, v.

4504 , 2001, pp. 56-61

Page 62: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

41

10 W.P. Ballard; L.J. Bernardez; R.E. Lafon, “High-power laser-produced-plasma

EUV source,” Proc. SPIE, v. 4688, part 1 of 2, 2002, pp. 302-309

11 G. O'Sullivan and P. K. Carroll, “4d-4f emission resonances in laser-produced

plasmas,” J. Opt. Soc. Am. vol. 71 (1981) pp.227-230

12 C. Cerjan, “Spectral characterization of a Sn soft X-ray plasma source,” J. App.

Phys, v. 76 (1994) pp. 3332-3336

13 G. O’Sullivan and R. Faulkner, “Tunable narrowband soft x-ray source for

projection lithography,” Opt. Eng. v. 33 (1994) pp. 3978-3983

14 H. Fiedorowicz, A. Bartnik, M. Szczurek et. al., “Generation of soft x-ray

radiation by laser irradiation of a gas puff xenon target,” Proc. SPIE vol. 2523

(1995) pp. 60-69

15 G.D. Kubiak, L.J. Bernardez, K. Krenz, “High-power extreme ultraviolet source

based on gas jets,” Proc. SPIE, vol. 3331 (1998) pp. 81-89

16 B.A.M. Hansson, L. Rymel, M. Berglund, and H.M. Hertz, “A liquid-xenon-jet

laser-plasma X-ray and EUV source,” Microelectronic Eng. 53, 667-670 (2000)

17 S. Ter-Avetisyan et. al.., “Efficient extreme ultraviolet emission from xenon-

cluster jet targets at high repetition rate laser illumination,” J. App. Opt. 94, 5489

(2003)

18 T. Abe, T. Suganuma, Y. Imai et. al.., “Development of liquid-jet laser-produced

plasma light source for EUV lithography,” Proc. SPIE, vol. 5037 (2003) pp. 776-

783

Page 63: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

42

19 G. Duffy, A. Cummings, P. Dunne, G. O'Sullivan, “Investigation of EUV sources

for 13.5-nm operation,” Proc. SPIE, vol. 4876 (2003) pp. 533-540

20 F. Gilleron, M. Poirier, T. Blenski, M. Schmidt, and T. Ceccotti, “Emissive

properties of xenon ions from a laser-produced plasma in the 100–140 Å spectral

range: Atomic-physics analysis of the experimental data,” J. App. Phys. vol. 94,

(2003) pp. 2086-2896

21 M. A. Klosner and W. T. Silfvast, “Intense xenon capillary discharge extreme-

ultraviolet source in the 10–16-nm-wavelength region,” Opt. Exp.Lett. vol. 23

(1998) pp. 1609-1611

22 W. Svendsen and G. O’Sullivan, “Statistics and characteristics of xuv transition

arrays from laser-produced plasmas of the elements tin through iodine,” Phys.

Rev. A, v. 50 (1994) pp. 3710-3718

23 R. D. Cowan, The Theory of Atomic Structure and Spectra, University of

California Press, Berkeley, Califonia (1981)

24 S. George, C-S. Koay, K. Takenoshita, R. Bernath, M. Al-Rabban, C. Keyser, V.

Bakshi, H. Scott, M. Richardson, “EUV spectroscopy of mass-limited Sn-doped

laser microplasmas,” Proc. SPIE, vol. 5751, pp. 798-807 (2005)

25 F. Jin, M. Richardson, G. Shimkaveg, and D. Torres, “Characterization of a laser

plasma water droplet EUV source,” Proc. SPIE, vol. 2523, pp. 81-87, (July 1995)

26 C. Keyser, G. Schriever, M. Richardson and E.Turcu, “Studies of high-repetition-

rate laser plasma EUV sources from droplet targets,” Appl. Phys. A 77, 217–221

(2003)

Page 64: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

43

27 R.C. Constantinescu, J. Jonkers, P. Hegeman, and M. Visser, “A laser generated

water plasma source for extremeultraviolet lithography and at wavelength

interferometry,” Proc. SPIE, vol. 4146, 101-112 (2000)

28 S. Dusterer, H. Schwoerer, W. Ziegler, C. Ziener, and R. Sauerbrey,

“Optimization of EUV radiation yield from laser-produced plasma,” App. Phys. B,

73, 693-698 (2001)

29 U. Vogt, H. Stiel, I. Will, M. Wieland, T. Wilhein, P.V. Nickles, and W. Sandner,

“Scaling-up a liquid water jet laser plasma source to high average power for

Extreme Ultraviolet Lithography,” Proc. SPIE, vol. 4343, 87-93 (2001)

30 JMAR laser, diode-pumped 5000W, 100 kHz or Northrop-Grumman laser

(formerly TRW-CEO laser), diodepumped Nd:YAG, 4500W, 5kHz

31 N. R. Böwering, J. R. Hoffman, O. V. Khodykin, C. L. Rettig, B. A. M. Hansson,

A. I. Ershov, “Metrology of laser-produced plasma light source for EUV

lithography,” Proc. SPIE, vol. 5752 (2005), pp.1248-1256

32 J.R. Hoffman, A.N. Bykanov, O.V. Khodykin, A.I. Ershov, N.R. Böwering, I.V.

Fomenkov, W.N. Partlo, D.W. Myers, “LPP EUV Conversion Efficiency

Optimization,” Proc. SPIE, vol. 5751 (2005) pp. 892-901

33 D. J. O’Connell, “Characterization of a lithium laser produced plasma at 13.5 nm

for extreme ultraviolet projection lithography,” MS Thesis (1994) Univ. of

Central Florida

34 M. Al-Rabban, S. George, G. Shimkaveg, W. Silfvast, C-S. Koay, K. Takenoshita,

R. Bernath, M. Richardson, H. Scott, “EUV generation from lithium laser plasma

Page 65: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

44

for lithography,” SPIE Microlithography Symposium (San Jose, CA, USA, 2006),

19–24 February 2006.

35 F. Jin, K. Gabel, M. Richardson, M. Kado, A.F. Vassiliev, and D. Salzmann,

“Mass-limited laser plasma cryogenic target for 13-nm point x-ray sources for

lithography,” Proc. SPIE, vol. 2015, pp. 151-159, (1993)

36 M. Richardson, D. Torres, C. DePriest, F. Jin, and G. Shimkaveg, “Mass-limited,

debris-free laser plasma EUV source,” Optics Comm., 145, pp. 109-112 (1998)

37 G. Schriever, M. Richardson and E.Turcu, (submitted for publication)

38 W.L. Kruer, The physics of laser plasma interaction, Addison-Wesley (1988)

39 D. Giulietti and L.A. Gizzi, “X-ray emission from laser-produced plasmas,” La

Rivista del Nuovo Cimento, vol. 21, number 10 (1998)

40 R. More, “Atomic physics of laser produced plasmas,” Handbook of Plasma

Physics, vol. 3, chap. 2, editors: Rosenbluth and Sagdeev, (1991) Elsevier

41 D. Salzmann, Atomic Physics in Hot Plasmas (Oxford Univ. Press, 1998)

42 C. Bauche-Arnoult and J. Bauche, “Statistical approach to the spectra of

plasmas,” Physica Scr. T40, 58-64 (1992) and references therein.

43 W. Svendsen and G. O’Sullivan, “Statistics and characteristics of xuv transition

arrays from laser-produced plasmas of the elements tin through iodine,” Phys.

Rev. A, v. 50 (1994) pp. 3710-3718

Page 66: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

45

44 G. M. Zeng, H. Daido, K. Murai, M. Nakatsuka, and S. Nakai, “Line x-ray

emissions from highly ionized plasmas of various species irradiated by a compact

solid-state lasers,” J. Appl. Phys. 72, 3355-3362 (1992)

45 I. W. Choi et. al., “Detailed space-resolved characterization of a laser-plasma soft-

x-ray source at 13.5nm wavelength with tin and its oxides,” J. Opt. Soc. Am. B,

17, 1616-1625 (2000)

46 G. Schriever, K. Bergmann, and R. Lebert, “Extreme ultraviolet emission of laser-

produced plasmas using a cryogenic xenon target,” J. Vac. Sci. Technol. B, 17,

(1999) pp. 2058-2060

47 N. Bowering, M. Martins, W.N. Partlo, I.V. Fomenkov, “Extreme ultraviolet

emission spectra of highly ionized xenon and their comparison with model

calculations,” J. App. Phys, 95, 17 (2004)

48 H. Fiedorowicz, A. Bartnik, H. Daido, I. W. Choi, M. Suzuki, S. Yamagami,

“Strong extreme ultraviolet emission from a double-stream xenon/helium gas puff

target irradiated with a Nd:YAG laser,” Optics Comm., 184, pp. 161-167 (2000)

49 I.C.E. Turcu and J.B. Dance, X-rays from Laser Plasmas, John Wiley and Sons,

(1999)

50 D. Colombant and G. F. Tonon, “X-ray emission in laser-produced plasmas,” J.

Appl. Phys., 44, pp. 3524-3537 (1973)

51 P. Hayden, P. Sheridan, G. O'Sullivan, P. Dunne, L. Gaynor, N. Murphy, and A.

Cummings, “EUV laser produced plasma source development for lithography,”

Proc. SPIE, vol. 5826, pp. 154-164 (2005)

Page 67: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

46

52 J.P. Christiansen, D.E.T.F. Ashby, and K.V. Roberts, “MEDUSA: A One-

Dimensional Laser Fusion Code,” Comp. Phys. Comm. 7 271–287 (1974)

53 W.T. Silfvast, M. Richardson, H. Bender, A. Hanzo, V. Yanovsky, F. Jin, J.

Thorpe, “Laser produced plasmas for soft x-ray projection lithography,” J. Vac.

Sci, Technol. B, 10 (1992) pp. 3126-3133

54 C. Cerjan, “X-ray plasma source design simulations,” App. Optics, vol. 32 (1993)

pp. 6911-6913

55 M. Al-Rabban, C. Keyser, S. George, H. Scott, V. Bakshi, M. Richardson,

“Radiation transport modeling for Xe and Sn-doped droplet laser-plasma

sources,” Proc. SPIE, vol. 5751, pp. 769-778 (2005)

56 M. Al-Rabban, M. Richardson, "Radiation code calculations of tin plasma EUV

emission," 2nd International Symposium on EUV Lithography (Antwerp,

Belgium), 30 September-3 October 2003.

57 M. Al-Rabban, S. George, C-S. Koay, C. Keyser, M. Richardson, "Model

calculations of plasma expansion and EUV emission from Xe and Sn laser

plasmas," EUV Source Workshop (Santa Clara, CA), 21 February 2004.

58 M. Al-Rabban, M. Richardson, T. Blenski, M. Pourier, F. Gilleron, H. Scott, V.

Bakshi, "Modeling laser-plasma sources for EUV," International Symposium on

EUV Lithography (Miyazaki, Japan), 1-5 November 2004.

59 M. Al-Rabban, M. Richardson, C-S. Koay, S. George, H. Scott, V. Bakshi,

"Radiation transport modeling for Xe and Sn-doped droplet laser-plasma

Page 68: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

47

sources ," International Symposium on EUV Lithography (Miyasaki, Japan), 1-5

November 2004.

60 M. Al-Rabban, S. George, G. Shimkaveg, W. Silfvast, C-S. Koay, K. Takenoshita,

R. Bernath, M. Richardson, H. Scott, “EUV generation from lithium laser plasma

for lithography,” SPIE Microlithography Symposium (San Jose, CA, USA, 2006),

19–24 February 2006.

61 M. Al-Rabban, “Term structure of 4d-electron configurations and calculated

spectrum in Sn-isonuclear sequence,” J. Quantitative Spectroscopy & Radiative

Transfer, 97, pp. 278–316 (2006)

62 H. A. Scott, “Cretin—a radiative transfer capability for laboratory plasmas,” J.

Quantitative Spectroscopy and Radiative Transfer, 71, pp. 689-701 (2001)

Page 69: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

48

CHAPTER 3: SOURCE METHODS AND MATERIALS

3.1 Source Creation

Three main components necessary for creating the laser plasma source are: a laser,

a target, and an interaction vacuum chamber. The laser interacts with the target to create

the appropriate plasma density and temperature. Because EUV is easily absorbed by air,

the plasma must be produced in vacuum.

Figure 3.1 Precision Nd:YAG laser

Page 70: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

49

3.1.1 Precision interaction laser

The laser is a precision Q-switched Nd:YAG oscillator-amplifier system (λ =

1064 nm). It operates at 1 Hz repetition rate, and produces up to 1.6 J of energy per pulse

with a pulse duration of 11.5 ns (FWHM). The system consists of a master oscillator and

three amplifier modules. In its layout, the laser beam from the oscillator makes two

passes through the first amplifier, followed by a single pass through the remaining

amplifiers (Figure 3.2). The far field beam profile recorded with a commercial beam-

profiler (Spiricon) is shown in Figure 3.3. Having an M2 ≈ 1.5 and a Gaussian fit

correlation ≈ 0.93, the laser beam quality is close to the diffraction limit.

Figure 3.2 Optical layout of the precision Nd:YAG laser

Page 71: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

50

Figure 3.3 Far field beam profile of the precision laser

3.1.2 Measurement of beam diameter at focal region

A minimum spot of 35 μm diameter is achievable when the laser beam is focused

by a plano-convex lens having nominal focal length of f = 100 mm (BK7, uncoated, 25.4

mm diameter, KPX094 from Newport). It is important to measure the beam diameters

near the focal region, and map them along the beam axis. For a given pulse energy and a

target’s position in the focal region, the measurements would allow the laser intensity at

that position to be determined accurately.

The method involves two setups as depicted in Figure 3.4. In the first part, a

calibrated object is placed at the working distance of a microscope objective. The object

used is a wire grid of known dimension. The microscope objective is a DIN 20X. The

object is back illuminated with a flashlight.

A CCD detector, which is connected to a Spiricon, is placed behind the

microscope objective to capture the image of the wire grid. The position of the CCD is

Page 72: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

51

adjusted, by varying the distance between the microscope objective and the CCD, until a

sharp image of the wire grid is obtained. The CCD type is a Pulnix TM-745, and image

acquisition is made through a Spiricon video capture card, which includes an image

processing software, all controlled by a computer. The image of the wire grid form on the

CCD detector plane is shown in Figure 3.5.

Microscope objective

CCD camera to Spiricon

Calibrated objectIllumination

ND filterLaser beam

Object plane

Image plane at CCD detector

Test lens

Microscope objective

CCD camera to Spiricon

Calibrated objectIllumination

ND filterLaser beam

ND filterLaser beam

Object plane

Image plane at CCD detector

Object plane

Image plane at CCD detector

Test lens

Figure 3.4 Experimental setup to measure laser beam diameter. Top setup is for determining the optical magnification of the microscope objective, from the object plane to the image plane. Bottom setup, to measure beam diameter.

Page 73: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

52

1326 μm1326 μm

Figure 3.5 Image of calibrated object on the Spiricon CCD detector. The wire’s width at the image plane is 1326 μm.

The optical magnification, by the microscope objective, from the object plane to

the image plane is calculated from the following.

Wire width (on image) = 1326 μm

Wire width (object) = 36 μm

Magnification = 1326/36 = 37

After the magnification is determined, the calibrated object is removed from the setup. A

neutral density (ND) filter assembly and the test lens are added to the setup as shown in

bottom part of Figure 3.4. The test lens is centered on the optical axis of the microscope

objective. The laser beam is aligned to be centered on the same axis as well. The lens is

mounted on a linear translation stage which allows adjustments along the optical axis.

Page 74: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

53

The separation distance between the test lens and the microscope objective is

approximately 100 mm, which is equal to the lens’ nominal focal length.

When the laser beam is turned on, an image is formed on the CCD and can be

captured by the Spiricon. The image corresponds to the intensity profile of the beam at

the object plane. The image’s intensity on the CCD can be controlled by adding the

proper amount of ND filter.

The position of the test lens is translated along the optical axis at a constant step

size. An image is captured for each step until a complete scan in the focus region is

obtained. The beam diameter (FWHM) of each image is measured in the Spiricon

software. The true beam size is then calculated since the magnification is known. Figure

3.6 shows the map of the beam diameter in the focal region.

Figure 3.6 The detailed map of the beam diameter near the focal region of an f = 10 cm lens

Page 75: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

54

3.1.3 Tin-doped droplet targets

To minimize the effects of target debris emanated from the source, mass-limited

targets are used [1, 2]. The targets are liquid droplets of 30 - 50 μm diameter, with each

containing a small amount of tin mixed in low-Z material. The liquid is prepared by

mixing tin salt (tin-chloride, SnCl2) and deionized water. Each droplet contains about

1013 tin atoms, and the number can be controlled by varying the concentration of the

solution.

This approach limits the target to one whose mass and size, approximates that of

simply the number of atomic radiators required for emission. Supposing the target is

ionized uniformly, there should be no particulate debris at all. Then, the real problem will

be to protect the collection mirrors from the ions coming from the plasma. Ions can be

controlled with electric and magnetic fields, and are slowed by recombination, in contrast

with particulate neutral matter. Studies of this source’s debris and its mitigation schemes

are reported in Ref. 3.

Figure 3.7 Ink-jet capillary module

Commercial ink-jet capillary (Figure 3.7) is used to create the droplet targets. As

the liquid is forced through a capillary, a piezo-electric module located within the

Page 76: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

55

capillary is driven at a high frequency to produce a thin chain of droplets out of a nozzle

(Figure 3.8). Generating the droplets at high repetition rate of 10 - 100 kHz is

advantageous because, if each droplet could be heated by a laser pulse, then the emitted

EUV power can conveniently be scaled upward to meet the source power requirement.

When the source is in operation, each target is synchronized to a laser pulse with a phase-

locked loop electronics so that the positional stability of the high velocity (typically 2 x

104 cm/s) droplet target in the target region is about 3 μm at distances of 10 mm from the

nozzle’s exit.

10 mm

Dropletdispenser

Droplettargets

Focused laser

10 mm

Dropletdispenser

Droplettargets

Focused laser

Figure 3.8 Photograph of a thin chain of droplet targets together with a cartoon of a laser beam focused on a droplet.

Page 77: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

56

3.1.4 Interaction vacuum chamber

The vacuum chamber is a 45 cm diameter cylinder having 12 vacuum ports

positioned around its body. The axes of all the ports intersect at the center of the chamber,

where the target is positioned during the experiments. Through these ports, various

instruments can be connected to the chamber. The setup in Figure 3.9 shows two

instruments, a flat-field spectrometer and a Flying Circus [4] instrument positioned at 90o

and 30o respectively from the input laser beam axis. During experiments, a turbo pump

(backed with a roughing pump) maintains the pressure in the chamber below 1 mT. At

this pressure, the absorption of EUV by the air inside the chamber is less than 1% for a

distance of 100 cm [5].

Figure 3.9 Photograph of the vacuum chamber and the diagnostics connected to it

Page 78: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

57

3.1.5 Droplet target imaging system

Visible light imaging of the droplets in the target region is used in the laser

plasma system to monitor the droplet stability and droplet positioning during experiment.

In the imaging system, shown in Figure 3.10, the droplets are back illuminated, and the

droplet image is detected with a visible light CCD. The source of illumination is provided

by a light-emitting diode (LED). It is a green Luxeon V Star, model # LXHL-LM5C,

with lambertian radiation pattern. The LED provides high brightness illumination, as high

as 160 lumens.

Figure 3.10 Imaging system to observe droplet targets. The illumination system and the CCD detector are located outside the vacuum chamber. Droplet dimension is exaggerated.

The LED, collector, and illuminator, are located outside the vacuum chamber.

The collected light passes through a vacuum window and illuminates the droplets

uniformly. The illumination is pulsed at the same repetition rate as droplets’, to provide

stroboscopic lighting. The power supply controlling the LED acquires its input electrical

signal from the phase-locked loop, which also provides the signal that drives the droplets.

Illumination brightness can also be adjusted by the power supply.

DropletsCollector & illuminator

LED

Imaging lens Visible

CCD

Vacuum chamberWindow

Window

DropletsCollector & illuminator

LED

Imaging lens Visible

CCD

DropletsCollector & illuminator

LED

Imaging lens Visible

CCD

Vacuum chamberWindow

Window

Page 79: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

58

Figure 3.11 The Luxeon V Star light-emitting diode, and its radiation spectrum centered in the green, approximately 530 nm.

For the imaging system, an uncoated BK7 lens with focal length of 75 mm is used

to create a real image on the visible CCD. The imaging lens is placed inside the vacuum

chamber while the CCD is outside. A black and white TV monitor is used to observe the

image captured by the CCD. The position of the CCD was adjusted to its best position

where the sharpest image of the droplets is observed. The total magnification of the

system, from the droplet to the image on the TV monitor, is about 600.

Page 80: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

59

3.2 References

1 M. Richardson, D. Torres, C. DePriest, F. Jin and G. Shimkaveg, “Mass-limited,

debris-free laser-plasma EUV source,” Optics Communications, vol. 145, pp.

109-112 (1998)

2 F. Jin, K. Gabel, M. Richardson, M. Kado, A.F. Vassiliev, and D. Salzmann,

“Mass-limited laser plasma cryogenic target for 13-nm point x-ray sources for

lithography,” Proc. SPIE, vol. 2015, pp. 151-159, (1993)

F. Jin, M. Richardson, G. Shimkaveg, and D. Torres, “Characterization of a laser

plasma water droplet EUV source,” Proc. SPIE, vol. 2523, pp. 81-87, (July 1995)

3 K. Takenoshita, C-S. Koay, M. Richardson, I.C.E.Turcu, “Repeller field debris

mitigation approach for EUV sources,” Proc. SPIE, vol. 5037, pp. 792-800 (2003)

K. Takenoshita, C-S. Koay, S. Teerawattanasook, M. Richardson, “Debris studies

for the tin-based droplet laser-plasma EUV source,” Proc. SPIE, vol. 5374, pp.

954-963 (2004)

K. Takenoshita, C-S. Koay, S. Teerawattansook, M. Richardson, “Debris

characterization and mitigation from microscopic laser-plasma tin-doped droplet

EUV sources,” Proc. SPIE, vol. 5751 (2005) pp. 563-571

4 F. Bijkerk, R. Stuik, C. Bruineman, “Flying Circus 2: a concerted effort of EUV

source development,” SEMATECH EUV Source Workshop (Santa Clara: 2002)

available at http://www.sematech.org/resources/litho/meetings/euvl/20020303/14-

Bijkerk.pdf

Page 81: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

60

5 X-ray interaction calculation provided by Center for X-ray Optics at http://www-

cxro.lbl.gov/

Page 82: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

61

CHAPTER 4: INBAND SPECTROSCOPY

The source’s spectra are characterized in the inband region as a function of laser

intensities from 1010 – 1013 W/cm2. The intensity for optimum EUV emission is expected

to occur within this range. From the spectra, the Sn ion stages that radiates into the

inband region could hopefully be identified, and spectral signatures associated to the

optimum condition could be determined.

4.1 Methods and Materials

4.1.1 Flat-field spectrograph (FFS)

To obtain the source’s spectrum around the inband region, a flat-field

spectrograph (Figure 4.1) was designed and built to record high resolution spectra across

12 – 18 nm. The FFS is a convenient tool for studying soft x-ray emission from laser

plasmas. Light incidents at a grazing angle of 3o on its diffraction grating, whose surface

is gold coated. Having a concave surface with varied-space grooves (Harada type 1200

lines per mm grating fabricated by Hitachi) [1], the grating images the dispersed light

uniformly on to a plane, at which a flat detector is located to record the spectrum (Figure

4.2). The detector used is a 512 x 512 pixel array of back-thinned x-ray CCD from

Princeton Instruments (model PI-SX: 512)

Page 83: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

62

Spectrographs having the same grating have been used and characterized by

several researchers [2, 3, 4]. Although the instrument is has a flat-field spectral range

from 4 nm - 30 nm, usually the effective detection range is limited by the detector’s size.

Resolving powers of up to 3000 have been achieved.

Figure 4.1 Photograph of the flat field spectrograph connected to vacuum chamber.

Page 84: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

63

λ1

λ2

A

P

Q

87o

Slit Filter Grating

90o

Imageplane

Dispersed light

λ1

λ2

A

P

Q

87o

Slit Filter Grating

90o

Imageplane

Dispersed light

Figure 4.2 Schematic and working principle of the FFS

4.2 Experiment

The tin-doped droplet target is set at a concentration of 30% tin by mass. The

concentration is quoted as “% tin by mass”, which is equal to the mass of tin in the target

divided by the total mass of the target. The droplet dispenser is setup to allow the droplets

to fall vertically to the center of the chamber.

While the energy of the incident laser pulse is kept constant, the laser intensity

irradiating the target is varied by translating the focusing lens along the laser axis. In

doing so, we effectively change the laser spot size irradiating the target (Figure 4.3). The

amount of defocus is controlled with a precision positioner; it controls the distance

between the lens and the target. Since the laser spot size is known from the detailed map

at the focal region (Figure 3.6), the intensity can be determined accurately.

Page 85: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

64

Figure 4.3 Focus scan along laser axis by translating the position of the lens. Droplet position is fixed.

4.3 Results and Discussions

The spectrometer is connected to the target chamber as shown in Figure 4.1. It is

carefully aligned to the source such that light emitted radially from the source would

enter the slit and reach the grating. The slit width is 120 μm, and the source-to-slit

distance is 395 mm. For each laser intensity, a spectrum is recorded by the x-ray CCD

camera exposed for the duration of 20 laser shots. A computer program is developed to

analyze the spectra. It converts the raw spectra (Figure 4.4) recorded by the x-ray CCD

into their true spectra by removing the effects from the Zr filter’s transmission.

Page 86: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

65

Figure 4.4 Color coded image of the raw spectrum recorded by x-ray CCD camera.

Page 87: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

66

0

11 12 13 14 15 16 17Wavelength (nm)

Phot

on C

ount

(A.U

.)

f

e

dc

ba

a:

1.4 x 1011

b:

9.5 x 1010

c:7.0 x 1010 d:5.4 x 1010

e:

3.4 x 1010 2.8 x 1010 W/cm2

f:

(a)

0

11 12 13 14 15 16 17Wavelength (nm)

Phot

on C

ount

s (A

.U.)

f

g

hi

f:g: h:

1.1 x 1012 i:8.6 x 1011 3.8 x 1011 1.4 x 1011 W/cm2 (b)

Figure 4.5 (a) Spectra from tin-doped droplet target laser plasma for various laser intensities below 1.4 x 1011 W/cm2 at the target, and (b) Spectra from tin-doped droplet target laser plasma for various laser intensities above 1.4 x 1011 W/cm2 at the target.

Page 88: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

67

Figure 4.5 (a) and (b) show that the peak of the tin UTA at 13.5nm, which has a

narrow width of 1 nm (FWHM), increases in height with intensity until a particular

intensity, after which the height drops. According to Ref.5, this UTA is primarily due to

4p64dN → 4p54dN+1 + 4p64dN-14f transitions from Sn8+ to Sn11+ ion stages overlapping in

energy. In this series of spectra, the intensity at which the tin UTA reaches the maximum

height (spectrum f) is 1.4 x 1011 W/cm2. The result is consistent with the prediction

provided by the hydrodynamic code.

To observe the effects the laser intensity has on the structures within the UTA, the

spectra are normalized to the peak of the oxygen lines (13.0 nm and 15.1 nm) that appear

in the spectra. Figure 4.6 (a) and (b) are the spectra after normalization. Below the

optimum intensity, the spectra show at least four features (approximately denoted by

dotted lines I, II, III and IV) within the UTA that respond sensitively to the laser intensity.

Page 89: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

68

0

12.5 13.0 13.5 14.0 14.5 15.0 15.5

Wavelength (nm)

Nor

mal

ized

Pho

ton

Cou

nts

(A.U

.)

a:

1.4 x 1011

b:

9.5 x 1010

c:7.0 x 1010 d:5.4 x 1010

e:

3.4 x 1010 2.8 x 1010 W/cm2

f:

I IIIII IV

a

b

c

d

e

f (a)

0

12.5 13.0 13.5 14.0 14.5 15.0 15.5

Wavelength (nm)

Nor

mal

ized

Pho

ton

Cou

nt (A

.U.)

f:g: h:

1.1 x 1012 i:8.6 x 1011 3.8 x 1011 1.4 x 1011 W/cm2 (b)

Figure 4.6 (a) Spectra normalized to the peak of the oxygen line (at 13.0nm) for various intensities < 1.4 x 1011 W/cm2. Dotted lines I, II, III, and IV denotes the spectral features that respond sensitively to the variation in laser intensity. and (b): Spectra normalized to the peak of the oxygen line (at 13.0nm) for various intensities > 1.4 x 1011 W/cm2. The shape of the UTA, at the various intensities, remains the same.

Page 90: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

69

A significant increase in emission near 13.5nm, mainly due to features I and II, is

observed as the intensity increases. This can be explained by the fact that there is an

increase in the relative abundance of Sn ion stages that emit into this wavelength. Above

the optimum intensity, the peak of the UTA drops (Figure 4.5 b), indicating that there are

lesser ions emitting into the UTA.

This behavior is understood to be the result of the increased electron temperature

at higher intensity creating more ions of higher ionization stages, thus reducing the ions

that emit into the UTA. Although the change in the spectral peak is substantial, the result

in Figure 4.6 (b) shows that the overall UTA shape remains unchanged with increasing

intensity. This suggests that the relative abundance of the ions stages, of those

contributing to the UTA, remains unaffected.

4.3.1 Identifying Sn ion stages

By comparing the experimental spectra (Figure 4.6 a) to theoretical spectra, the

Sn ion stages that emits into the UTA may be identified. Theoretical spectra can be

created by convolving the theoretical oscillator strength (gf value) [6, 7], calculated from

the Cowan codes, with Gaussian function of narrow width.

A fictitious collection of oscillator strength lines is shown in Figure 4.7. For a line

located at wavelength xj, its strength would be Yj. The total number of lines N is usually

in the thousands. The theoretical spectrum is then calculated from

Page 91: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

70

∑= ⎟

⎜⎜

⎛ −−⋅=

N

j

jj w

XYspec

12

2)(exp)(

λλ Eqn 4.1

where w is the width of the Gaussian function.

An example of such calculation based on real data is in Figure 4.8 and Figure 4.9.

The total number of lines is approximate 50. Because the number of lines is rather small,

and the separations quite large, the result of convolution shows fairly clearly the Gaussian

line shape. Data with a large number of lines that crowd among themselves may no

longer show the Gaussian feature noticeably.

Figure 4.7 Cartoon of oscillator strength lines from Cowan code.

X1

Y1

X2

Y2

Xj

Yj YN

XNX1

Y1

X2

Y2

Xj

Yj YN

XNX1

Y1

X2

Y2

Xj

Yj YN

XN

Page 92: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

71

11 12 13 14 15 16 17 18

Y

X

Figure 4.8 An example of oscillator strength lines data from Cowan code. Horizontal axis is wavelength in nanometer.

11 12 13 14 15 16 17 18

spec x( )

x

Figure 4.9 Theoretical spectra obtained after convolving the oscillator lines in Figure 4.8 with Gaussian function of w = 0.05.

Page 93: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

72

12 13 14 15 16 17

Sn7+Sn7+

1 2 1 3 1 4 1 5 1 6 1 7

Sn8+

1 2 1 3 1 4 1 5 1 6 1 7

Sn8+

Sn9+Sn9+

Sn10+Sn10+

Sn11+Sn11+

Sn12+Sn12+

12 13 14 15 16 17Wavelength (nm)

a

f a: 2.8 x 1010 W/cm2

f: 1.4 x 1011 W/cm2

12 13 14 15 16 17

Sn7+Sn7+

1 2 1 3 1 4 1 5 1 6 1 7

Sn8+

1 2 1 3 1 4 1 5 1 6 1 7

Sn8+

Sn9+Sn9+

Sn10+Sn10+

Sn11+Sn11+

Sn12+Sn12+

12 13 14 15 16 17Wavelength (nm)

a

f a: 2.8 x 1010 W/cm2

f: 1.4 x 1011 W/cm2

Figure 4.10 Comparison between experimental and theoretical spectra

Page 94: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

73

Page 95: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

74

In the theoretical spectra shown in Figure 4.10, the region of intense emission

shifts towards shorter wavelength for increasing ionization. In experiment, the spectrum

changes from a to f with increasing laser intensity. The comparison shows that spectrum

a, at which the laser intensity is low, consists predominantly of emissions from Sn7+, Sn8+,

and Sn9+. At higher laser intensity, higher ionizations Sn9+, Sn10+, and Sn11+ become

dominant and form spectrum f.

These results are to be expected because that the most abundant ion stage in the

plasma shifts towards higher ionization with increasing electron temperature, which

increases with laser intensity. This experimental observation demonstrated the prediction

by the CRE model discussed in Chapter 2 concerning the relative ion population of Sn

plasma.

4.3.2 Spot size dependence

In the same experimental run, another set of spectra is recorded to determine

whether the laser’s spot size affected the intensity dependence of the spectra. In these

measurements, the laser spot size is kept constant while the laser pulse energy is varied.

The lens is fixed at the position where spectrum f is recorded. At this position, the laser

spot size on the target was 100 μm in diameter. The input laser pulse energy is varied

with a light valve.

The result of the measurements for intensities lower than 1.4 x 1011 W/cm2 is

shown in Figure 4.11. Comparing it with Figure 4.6 (a), they are essentially the same,

implying that the laser spot size has negligible effect on the UTA’s intensity dependence.

Page 96: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

75

The spectra recorded for intensities higher than 1.4 x 1011 W/cm2 also give the same

conclusion.

0

12.5 13.0 13.5 14.0 14.5 15.0 15.5

Wavelength (nm)

Nor

mal

ized

Pho

ton

Cou

nts

(A.U

.) Int1

Int2

Int1: Int2:

1.4 x 1011 W/cm2 2.9 x 1010

Figure 4.11 Normalized spectra for laser intensities between 2.9 x 1011 and 1.4 x 1011 W/cm2 recorded with constant laser spot size.

4.4 References

1 T. Harada and T. Kita, “Mechanically ruled aberration-corrected concave

gratings,” App. Optics, vol. 19 (1980) pp. 3987-3993

2 W. Schwanda, K. Eidmann, and M.C. Richardson, “Characterization of a flat-

field grazing-incidence XUV spectrometer,” J. X-ray Sci. and Tech., vol. 4, pp. 8-

17 (1993)

Page 97: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

76

3 I.W. Choi, J.U. Lee, and C.H. Nam, “Space-resolving flat-field extreme

spectrograph system and its aberration with wave-front aberration,” App. Optics,

vol. 36 (1997) pp. 1457-1466

4 A. Saemann and K. Eidmann, “Absolute calibration of a flat field spectrometer in

the wavelength range 10–70 Å,” Rev. Sci. Instrum., Vol. 69, No. 5, May 1998,

pp.1949-1954

5 G. O’Sullivan and R. Faulkner, “Tunable narrowband soft x-ray source for

projection lithography,” Opt. Eng. v. 33 (1994) pp. 3978-3983

6 M. Al-Rabban, “Term structure of 4d-electron configurations and calculated

spectrum in Sn-isonuclear sequence,” Journal of Quantitative Spectroscopy &

Radiative Transfer, 97, pp. 278–316 (2006)

7 D. Salzmann, Atomic Physics in Hot Plasmas (Oxford Univ. Press, 1998),

Chapter 6

Page 98: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

77

CHAPTER 5: OFF-BAND SPECTROSCOPY

The previous spectroscopic study concentrated on the inband spectral region near

13.5 nm. Aside from the necessity to achieve high inband radiation, source developers are

concerned about the possibility of intense radiation in other wavelengths emitted from the

sources. Intense and broad emissions below 10 nm were observed from laser plasma

based on solid plastic spherical targets coated with tin (Figure 5.1) [1].

Figure 5.1 Spectrum from laser plasma based on targets solid plastic spherical targets coated with tin. Note the intense and broad emissions below 10 nm. [1]

Page 99: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

78

Such intense off-band radiation can add heating effects on the Mo-Si multilayer

coating, resulting in the degradation of the image quality at the wafer. Therefore, spectral

data outside the inband region for emission from the tin-doped droplet laser plasma

becomes necessary in the source characterization effort. For this purpose, a transmission

grating spectrograph is used to record spectrum across a broader range (1 – 30 nm).

5.1 Methods and Materials

5.1.1 Transmission grating spectrograph

A transmission grating spectrograph (TGS) was designed and built for this

experiment. Such a spectrograph is widely used, and its working principles and designs

have been previously reported [2, 3, 4, 5]. A freestanding 10000 lines/mm (100 nm

period) grating from XOPT is installed in the TGS. It is fabricated in thin silicon nitride

membranes supported by a silicon frame (Figure 5.2). The grating is capable of

suppressing the second-order diffraction to isolate the first-order diffraction from higher

order effects.

As shown in Figure 5.3, the TGS is connected to a target vacuum chamber in

which the EUV source is located. The light entering the spectrograph is first filtered by a

thin layer of freestanding metal. The transmitted light passes a pinhole and incidents

normally on the grating. The grating disperses the light into various directions whose

angles are dependent on the wavelength of the dispersed light.

Page 100: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

79

Figure 5.2 Scanning electron micrograph of a free-standing 100-nm period grating (50 nm wide bars)

Figure 5.3 Schematic of the TGS

A detector located at the end of the exit arm is used to record the dispersed light.

The detector used is a back-thinned soft x-ray CCD (Princeton Instrument: SX-512 x

512). A flexible bellow allows the exit arm to pivot about the grating. By recording the

dispersed light across angles, a spectrum over a large spectral range can be obtained.

Page 101: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

80

Stray light arising from the reflections of the dispersed light within the metal pipe are

blocked from getting to the detector by baffles placed along the exit arm.

Figure 5.4 Photograph of the TGS connected to the target chamber.

Included in the spectrograph is an assembly in which three types of metal filters

are held by a linear adjuster. The filters are Zirconium (Zr), Tin (Sn), and Aluminum (Al),

each having a thickness of 500 nm. The transmissions of the three filters, obtained from

the CXRO website, are shown in Figure 5.5. During experiment, the filter can be selected

as needed without having to break the vacuum. This enables spectral data acquisition

over a wide spectral range to be achieved in only one experiment.

Page 102: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

81

Figure 5.5 Transmission data obtained from CXRO for Al, Sn and Zr metal filters of thickness 500nm.

Page 103: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

82

The relevant parameters of the setup are as below:

Source-to-grating distance, Lsg = 645 mm

Grating to detector plane, Lgc = 313 mm

Grating period, d = 100 nm

Pinhole diameter, w = 100 μm

Source size diameter, s = 100 μm

The diffraction limited spectral resolution Δλg of the TGS is given by

mNgλλ =Δ

Eqn 5.1

where m is the diffraction order and N is the number of illuminated line pairs. For this

spectrograph, m = 1 and N = 1000, hence the ideal resolutions for wavelengths 2 nm and

20 nm are 0.002 nm and 0.02 nm, respectively. However, because of the finite dimension

of the plasma source and the slit, they introduce a resolution limit expressed as

⎟⎟⎠

⎞⎜⎜⎝

⎛+

+=Δ

gcsgs L

wL

swdλ Eqn 5.2

where the resolution limit Δλs is 0.06 nm for a 100 μm source size.

5.2 Experiment

The laser used in this experiment is a commercial Nd:YAG laser (Spectra-Physics

Quanta Ray GCR-190): 13 ns pulse duration, 100 Hz, 1064 nm. The 1 Hz, precision laser

Page 104: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

83

(mentioned in Chapter 3) is not used because its low repetition rate cannot supply enough

EUV to be recorded by the TGS.

For each metal filter, a series of spectra is recorded by scanning the exit arm

through increasing angle. Figure 5.6 is the raw spectrum recorded by the detector. It

spans from 1 nm to 30 nm, and is put together by stitching the spectra taken across all

angles. The horizontal line is the spectrum to be analyzed. Because of dispersion in the

vertical direction, diagonal lines also appear in the picture. However, they are not useful

in the spectrum analysis.

Figure 5.6 Color coded picture of the raw spectrum recorded by the CCD camera.

During experiment, the laser irradiation condition is set at which optimum inband

EUV is produced. The exposure time of the CCD varies from 6 - 12 s, depending on the

type of metal filter used. Since the effect from the filter transmission is contained in the

raw spectrum, a computer program written to extract the true spectrum.

5.3 Results and Discussions

Figure 5.7 is the complete spectrum after the analysis. It contains many line

emissions and UTAs. In the extraction process, the correspondence between the pixel

Page 105: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

84

point and the wavelength is determined by identifying the prominent oxygen lines in the

spectrum. They include lines at 12.99 nm, 15.15 nm, 17.3 nm, 19.28 nm and 20.39 nm,

all of which are found from the NIST Atomic Spectra Database [6].

0.E+00

1.E+05

2.E+05

3.E+05

4.E+05

5.E+05

0 5 10 15 20 25 30Wavelength (nm)

Sn (4d-4f) UTA

0.E+00

1.E+05

2.E+05

3.E+05

4.E+05

5.E+05

0 5 10 15 20 25 30Wavelength (nm)

Sn (4d-4f) UTA

Figure 5.7 Complete spectrum from TGS ranging from 1 - 28 nm. Appearing in the spectrum are oxygen lines and the prominent Sn UTA.

No intense emission from Sn ions is observed below 10 nm. In trying to

determine the origins of the various spectral features, the spectrum is divided into four

segments for detailed analysis, as shown in the rest of the figures in this section.

For 1 - 10 nm, two oxygen lines appear in the region (Figure 5.8). The remaining

structures of the spectrum in the figure are most likely due to Sn ions because there are

no prominent oxygen lines in this region for laser plasma [3]. The structures within 3 – 7

nm are yet to be determined. They appear to be line emission because they are narrow

Page 106: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

85

although they could be UTA. Above 7 nm, the UTA has been identified and will be

discussed next.

0.E+00

1.E+04

2.E+04

3.E+04

4.E+04

5.E+04

0 1 2 3 4 5 6 7 8 9 10Wavelength (nm)

O VIII

1s-2p

O VII

1s2-1s2pSn lines/UTA (to be identified) Sn UTA (identified)

0.E+00

1.E+04

2.E+04

3.E+04

4.E+04

5.E+04

0 1 2 3 4 5 6 7 8 9 10Wavelength (nm)

O VIII

1s-2p

O VII

1s2-1s2pSn lines/UTA (to be identified)Sn lines/UTA (to be identified) Sn UTA (identified)Sn UTA (identified)

Figure 5.8 Spectrum from 1nm to 10nm. Majority of structures are most likely due to Sn ions.

0.E+00

1.E+04

2.E+04

3.E+04

7 8 9 10 11 12Wavelength (nm)

a

bc

df

ge

Sn UTA (identified)

O VI

1s22p-1s25d

0.E+00

1.E+04

2.E+04

3.E+04

7 8 9 10 11 12Wavelength (nm)

a

bc

df

ge

Sn UTA (identified)

O VI

1s22p-1s25d

Figure 5.9 Spectrum from 7 - 12 nm showing the identified structures a through g.

Page 107: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

86

The Sn UTAs in Figure 5.9 have been observed in the EUV emission from laser

plasma of solid planar tin target [7]. Structures a to g are from the 4dN – 4d N-1 (5f + 6p)

transitions due to ion stages of Sn IX through Sn XIV (Table 1).

Table 5.1 Observed and calculated wavelengths for the a to g arrays.[7]

Figure 5.10 contains a familiar structure. It includes the spectral range the same as

that obtained with the Flat-Field Spectrometer (FFS). In addition to the prominent Sn

UTA from 4d – 4f transitions around the 13.5 nm, the TGS shows the spectral region

beyond 18 nm (Figure 5.11), which could not be seen with the FFS. The oxygen lines in

that region are identified by referring to the NIST Atomic Spectra Database.

Spectrum over a wide range from 1 - 30 nm is successfully recorded by using the

10000 lines/mm grating TGS. No intense emission from Sn ions is observed below 10 nm.

Apart from the most prominent structure is the Sn UTA near 13 nm, only a few strong but

narrow oxygen lines are observed. The rest of the off-band emissions are negligible.

Page 108: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

87

0.E+00

1.E+05

2.E+05

3.E+05

4.E+05

5.E+05

10 11 12 13 14 15 16 17 18 19 20Wavelength (nm)

Sn (4d-4f) UTA

O VI

1s22p-1s24d

O V

2s2p-2s4d

O VI

1s22p-1s23d

O V

2s2p-2s3d

O VI

1s22p-1s25dSn UTA

0.E+00

1.E+05

2.E+05

3.E+05

4.E+05

5.E+05

10 11 12 13 14 15 16 17 18 19 20Wavelength (nm)

Sn (4d-4f) UTA

O VI

1s22p-1s24d

O V

2s2p-2s4d

O VI

1s22p-1s23d

O V

2s2p-2s3d

O VI

1s22p-1s25dSn UTA

Figure 5.10 Spectrum from 10 - 20 nm covering the 13.5nm UTA.

0.E+00

5.E+04

1.E+05

2.E+05

20 21 22 23 24 25 26 27 28Wavelength (nm)

O V

2p2-2p3d

O V

2s2p-2s3d

O V

2s2p-2s3s

O V

2p2-2p3s

O IV

2s2p2-2s2p3d

2s22p-2s23dO IV

2s2p2-2s2p3d

O III

2s22p2-2s2p23p

O IV

2s2p2-2s5p

0.E+00

5.E+04

1.E+05

2.E+05

20 21 22 23 24 25 26 27 28Wavelength (nm)

O V

2p2-2p3d

O V

2s2p-2s3d

O V

2s2p-2s3s

O V

2p2-2p3s

O IV

2s2p2-2s2p3d

2s22p-2s23dO IV

2s2p2-2s2p3d

O III

2s22p2-2s2p23p

O IV

2s2p2-2s5p

Figure 5.11 Spectrum from 20 - 28 nm.

Page 109: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

88

5.4 Emission in the Ultraviolet, Visible, and Near Infrared

LPL has also investigated the source’s spectrum in the ultraviolet, visible and near

infrared, as reported in Ref. 8. Spectra of radiation emitted by laser plasmas based on the

water droplet and the tin-doped droplet targets were obtained. The results show that every

peak observed in the tin-doped spectra is also observed in the pure water droplet target

signature (Figure 5.12). The spectral signature for both targets is identical, except for the

difference in peak heights due to longer exposure for the water droplet case. Cowan code

predictions show only one or two (very weak) transitions from tin ions in the 400 nm

region, but many transitions from oxygen ions in the entire visible region (Figure 5.13). It

was concluded that the emissions due to tin ions are negligible in the regions investigated.

Also, the power level of the radiation in these spectral regions was detemined to be

within the requirement set for a feasible source for EUV scanner.

Wavelength (nm) Wavelength (nm)

Cou

nts

Wavelength (nm) Wavelength (nm)

Cou

nts

Figure 5.12 Spectra obtained for de-ionized water target and Sn-doped droplet target, under vacuum conditions. The difference in counts of the two spectra is due to the longer exposure time used for the pure water experiment. Feature identification of visible and near-IR region [8].

Page 110: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

89

Figure 5.13 Cowan calculations for OI, OII, and OIII transitions in the visible region [8]

5.5 References

1 Y. Shimada, H. Nishimura, K. Hashimoto et. al., “Properties of EUV emissions

from laser produced tin plasmas,” Proc. SPIE, vol. 5374 (2004) pp. 912-917

2 M. Chaker, V. Bareau, J.C. Kieffer, et. al.., “Calibrated soft x-ray spectroscopy

using transmission grating, pinhole and film,” Rev. Sci. Instrum. 60 (1989) pp.

3386-3390

3 T. Wilhein, S. Rehbein, and D. Hambach et. al.., “A slit grating spectrograph for

quantitative soft x-ray spectroscopy,” Rev. Sci. Instrum., Vol. 70 (1999) pp. 1694-

1699

4 P. Desaute, H. Merdji, V. Greiner, T. Missalla , C. Chenais-Popovics, P. Troussel,

“Characterization of a high resolution transmission grating,” Optics Commun. vol.

173 (2000) pp. 37–43

Page 111: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

90

5 J. L. Weavera et. al.., “The determination of absolutely calibrated spectra from

laser produced plasmas using a transmission grating spectrometer at the Nike

laser facility,” Rev. Sci. Instrum., Vol. 72, No. 1, January 2001, pp. 108-118

6 NIST Atomic Spectra Database web site http://physics.nist.gov/cgi-

bin/AtData/main_asd

7 W. Svendsen and G. O’Sullivan, “Statistics and characteristics of xuv transition

arrays from laser-produced plasmas of the elements tin through iodine,” Phys.

Rev. A, v. 50 (1994) pp. 3710-3718

8 S. George, C-S. Koay, K. Takenoshita, R. Bernath, M. Al-Rabban, C. Keyser, V.

Bakshi, H. Scott, M. Richardson, “EUV spectroscopy of mass-limited Sn-doped

laser microplasmas,” Proc. SPIE, vol. 5751, pp. 798-807 (2005)

Page 112: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

91

CHAPTER 6: CALIBRATED METROLOGY

To determine the source’s conversion efficiency, the inband EUV energy radiated

by the source and the input laser energy needs to be measured precisely, and accurately.

The EUV energy is measured using an energy meter equipped with calibrated optics and

detector. In this study, the energy meter used is the same as the Flying Circus instrument,

and its optics are calibrated by NIST.

6.1 Inband Energy Meter (The Flying Circus diagnostic)

Through a collaborative effort, a few companies in Europe have built a portable

instrument to benchmark the EUV sources being developed around the world [1, 2]. This

benchmarking activity has become known as Flying Circus (FC). The instrument can

make various measurements, including the absolute EUV energy. These measurements

are to be performed on-site, at the laboratories of the different source developers.

The instrument contains a mirror, a metal filter and a photodiode detector (Figure

6.1), all within in a vacuum compatible housing (Figure 6.2). The mirror is 24 mm in

diameter, and has a radius of curvature of 500 mm. The mirror coating is based on

Molybdenum-Silicon multilayer. The same type of energy meter is also available

commercially from builder, and LPL has acquired one of them. Figure 6.3 shows the

Page 113: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

92

reflectivity of the mirror used in LPL’s instrument. The data is measured at NIST with a

synchrotron EUV source based reflectometer.

Figure 6.1 Schematic of the Flying Circus EUV energy meter

Figure 6.2 Flying Circus connected to our vacuum chamber

Page 114: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

93

The mirror is used at near normal incidence. It collects the light from the plasma,

which includes a whole range of spectrum, from EUV to visible light. The light reflected

from the mirror is focused on to a photodiode detector. A thin layer of Zirconium metal

filters the light before reaching the detector. The Zr metal filter was purchased from

Lebow Inc. It is 500 nm thick, and Figure 6.4 shows the transmission curve for a Zr filter

having the same thickness. This data was obtained from a calculation made at the internet

website by the Center for X-ray Optics (CXRO), http://www-cxro.lbl.gov/.

0

0.1

0.2

0.3

0.4

0.5

0.6

12 12.5 13 13.5 14 14.5

Sample1

Sample2

Reflectivity

wavelength (nm)

Figure 6.3 Mo/Si mirror reflectivity calibrated by NIST.

The filter blocks light with wavelengths longer than 20 nm. In the EUV region,

the filter has a transmission window between 7 nm – 15 nm (FWHM), within which the

reflectivity band of the Mo-Si mirror is located. The combination of Mo-Si mirror and the

Page 115: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

94

Zr filter ensures that only EUV light within mirror’s the reflectivity band reaches the

photodiode. The absolute transmission of the Zr filter used in our Flying Circus was also

calibrated by NIST, and the data is shown in Figure 6.5.

Figure 6.4 Transmission of Zr metal filter (500 nm thick) calculated from the CXRO website

The photodiode for the Flying Circus instrument is the AXUV-100 from IRD Inc.

It has a large detection area of 10 mm x 10 mm, and is capable of time resolved, as well

as measurements at high repetition rates (~nanosecond range). The photodiode’s

sensitivity is constant at 0.24 A/W for wavelengths in the EUV region. For energy

measurement, the detector is used with a bias voltage of 20 volt. The electrical signal

Page 116: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

95

from the bias box is fed to a high speed oscilloscope through a BNC cable and a 50 ohm

termination.

0.09

0.11

0.13

0.15

0.17

12 12.5 13 13.5 14 14.5 15Wavelength (nm)

Tran

smis

sion

Figure 6.5 Transmission of Zr metal filter measured by NIST

6.1.1 Use calibrated spectrometer as energy meter

When a long duration experiment is needed to make many energy measurements,

it is imperative to have consistency in each measurement. In such situation, there is a

concern about maintaining the calibration of the Mo-Si multilayer mirror throughout the

duration of the experiment because the reflectivity is sensitive to effects from target

debris.

For the purpose of preserving MLM reflectivity in the FC, a method was devised

such that both the spectrograph (FFS) and the FC are used simultaneously to make a

Page 117: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

96

single measurement. Using this particular measurement, a calibration of spectrograph

against the FC is obtained. Then the FFS can be used effectively as an energy meter. The

FC is needed to make only one measurement during an experiment, thus preserving its

pristine calibration.

The effect of target debris on spectrograph’s calibration is minimal because the

instrument has a small slit and a metal filter placed between the source and its grating

(Figure 4.2). Another advantage for using the spectrograph for energy measurement is

that it allows precise conversion efficiency (CE) measurement because, as mentioned in

chapter 4, the source’s spectra depend on the irradiation conditions.

6.2 Experiment

The setup for measuring the CE as a function of laser focus position, and as a

function of laser intensity, is shown in Figure 6.6. The plasma is created with the

precision 1 Hz laser. An advantage of using the 1 Hz laser is that the EUV energy can be

accurately determined for each laser pulse, since at such a low repetition rate, the pulse to

pulse energy can be observed rather easily by using the following method. A photodiode

measures the laser energy incident on the target. Its signal and the FC’s signal are

observed simultaneously on a high speed oscilloscope. A webcam, to which the

oscilloscope’s screen is imaged, records a video of the signals over the duration of 20

laser shots. A snap shot of the signals is shown in Figure 6.7.

The spectrograph is calibrated against the Flying Circus at the beginning of the

experiment. During the calibration the x-ray CCD is exposed for 20 laser shots to record

Page 118: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

97

a spectrum, while the FC measures the EUV energy. For the rest of the experiment, only

spectral data are recorded and no longer measure using the FC.

*

Target chamber

LensPlasma

PD

Flying Circus

Flat Field Spectrometer

Light valve Flat

X-ray CCD

Figure 6.6 Experimental setup. The EUV energy meter and the spectrograph view the plasma at 30 degrees and 90 degrees with respect to the incident laser beam.

Page 119: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

98

Figure 6.7 A photograph of the screen of an oscilloscope that contains the signal from the photodiode and the signal from the FC instrument. The laser pulse duration is actually 11.5 ns (FWHM) but appears broadened due to the reduced sampling bandwidth (20MHz) setting.

6.3 Calibration and Data Analysis

Assuming isotropic emission from the source, the EUV energy radiated into 2π

steradian solid angle and within a 2% bandwidth centered on 13.5 nm, is given by the

following expression [2]

⎟⎟⎟

⎜⎜⎜

Ω=

λληλλλλ

λλπdTTRI

dI

RA

Ediode

allfgmirs

BWs

scope

scopeBW )()()()()(

)(2

Eqn 6.1

where Ω is the collection solid angle of the FC mirror subtended from the source, Ascope is

the integrated area under the EUV signal waveform displayed on an oscilloscope, Rscope is

the impedance of oscilloscope channel, Tg(λ) is the transmission curve of the gas in the

vacuum chamber (from CXRO), Rmir(λ) is the calibrated mirror reflectivity curve, Tf(λ) is

Signal from FCSignal from FC

Page 120: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

99

the transmission curve of filter(s) used to block visible light from entering the AXUV

detector, ηdiode(λ) is the calibrated responsivity curve of the AXUV detector, and Is(λ) is

the source’s spectrum in arbitrary units.

A numerical program for data analysis and CE calculation was developed. The

program takes a number of input files (i.e. the spectrum and the optics calibration files),

and combines them according to Eqn. 6.1 through interpolation. Finally it performs

numerical integrations to calculate the EUV energy. In the numerator, the limits of

integration for the 2%BW (centered at 13.50nm) are from 13.365 nm to 13.635 nm. In

the denominator, however, the integral is over all wavelengths but, in practice, it covers

only the region where the integrand contributes significantly, which is determined by the

product of Rmir(λ) and Tf(λ). The CE then is the ratio of the EBW to the laser energy at

target. As a standard, the CE is quoted in the units of “percent over 2π str × 2%BW at

13.5nm”.

The FFS is calibrated against the FC through the following relationship,

λληλλλλ dTTRIA diodeall

fgmirsscope )()()()()(∫∝ Eqn 6.2

because it provides a way to relate the spectrum and EBW using this expression,

∫∝BW

sBW dIE λλ)( Eqn 6.3

Page 121: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

100

A set of reference parameters is created from the reference measurement. For any other

measurement, which has spectrum Is,i and input laser energy EL,i. the CE is calculated

from

refiL

refL

BWrefs

BWis

i CEE

EdI

dICE ⎟

⎟⎠

⎞⎜⎜⎝

⎟⎟⎟

⎜⎜⎜

⎛=

,

,

,

,

)(

)(

λλ

λλ Eqn 6.4

6.4 Results and Discussions

6.4.1 Conversion efficiency as function of focus position

An experiment to measure CE as a function focus position was conducted. The

tin-doped droplet target contains 30% tin by mass. In this experiment, the laser pulse

energy is set at 100 mJ. Then, the separation distance between the target position and the

minimum focus position of the laser beam is varied by translating the position of the

focusing lens along the laser axis. The unit step of separation is 317.5 μm. The position

scan is made from one side of the focus to the other side, passing the minimum focus. For

calibration, an energy measurement is made with the FC and simultaneously a spectrum

is recorded with a 20 s exposure corresponding to 20 laser shots from the 1 Hz laser.

Next, for subsequent separation, a spectrum is recorded with the same amount of

exposure. Figure 6.8 shows that result of the experiment. The position of the dip in CE

corresponds to when the target is located at the minimum focus. There are two maxima

CE, each occurs when the target is placed at some distance from the minimum focus. One

of them is higher than the other, although laser intensity is the same for both. The highest

Page 122: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

101

CE occurs when the target is located at the back side of focus, where the laser beam is

diverging. The reason for this behavior is not yet understood.

0.0

0.5

1.0

1.5

2.0

-8 -4 0 4 8 12Position

Con

vers

ion

Effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

-8 -4 0 4 8 12Position

Con

vers

ion

Effic

ienc

y (%

)

Z

Figure 6.8 CE as function of focal position. Inset diagram shows the relative positions of target and focal spot.

6.4.2 Conversion efficiency as function laser intensity

There are two approaches to measure CE as function of laser intensity. The first is

to make use of the results from CE as function of focal position. The intensity

dependence can be determined because the laser beam diameters in the focal region are

known. Since the beam diameter and the laser energy are known, the laser intensity can

be calculated. The other way is to position the target at a specific position whose beam

size is known, and the laser energy is varied. The results from three experiments are

Page 123: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

102

shown in Figure 6.9. In one experiment, the second method was used because it allows a

tighter intensity scan at the region where optimum CE is located.

0.0

0.5

1.0

1.5

2.0

0 5 10 15 20 25 30

Con

vers

ion

Effic

ienc

y

Laser Intensity (x1010 Wcm-2)

0.0

0.5

1.0

1.5

2.0

0 5 10 15 20 25 30

Con

vers

ion

Effic

ienc

y

Laser Intensity (x1010 Wcm-2)

Figure 6.9 Conversion efficiency of the tin-doped droplet target laser plasma source as a function of laser intensity.

From these results, the range of optimum laser intensities for producing the

highest CE is found to be between 1 x 1011 W/cm2 and 1.5 x 1011 W/cm2. The CE starts at

a very small value in the low intensity end. As the intensity is increased, the CE also

increases until it reaches its peak 2%, and then it rolls off slowly. Towards the high

intensity side ~1 x 1012 W/cm2, CE drops to very small value. This trend is also observed

from the spectra as discussed in the previous section. The optimum intensity predicted by

Page 124: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

103

the hydrodynamic code in Chapter 2 agrees with the experimental results, indicating the

usefulness of theoretical prediction.

6.5 References

1 R. Stuik, R.C. Constantinescu, P.H. Hegeman, J. Jonkers, H.F. Fledderus, V.

Banine, and F. Bijkerk, “Portable diagnostics for EUV light sources,” Proc. SPIE

Int. Soc. Opt. Eng. 4146, 121 (2000)

2 R. Stuik, F. Scholzeb, J.Tummler, F. Bijkerk, “Absolute calibration of a

multilayer-based XUV diagnostic,” Nuclear Instruments and Methods in Physics

Research A 492 (2002) 305–316

Page 125: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

104

CHAPTER 7: SOURCE IMAGING AT INBAND WAVELENGTH

To transmit the necessary energy through the EUV scanners optical system with

no geometrical losses, the source etendue must be equal to or smaller than the etendue of

the optical system. The amount of the source energy that can be captured by a collector

depends on the source size, and its collectable angle. The source etendue is defined as

Ω⋅= esource Aε Eqn 7.1

where Ae is its effective emission area, and Ω is its collectable solid angle. For a spherical

source of diameter D, and a collector of Ωcol,

colsource D Ω⋅= 2

4πε

Eqn 7.2

Since EUV scanners require the source etendue to be < 3.3 mm2⋅sr [1], this places

a limit on the source size. From Eqn. 7.1, if the source is used with a 5 sr collector

module, the source’s diameter is limited to 4.5 mm. For a laser plasma, its size should be

safely below the limit. However, for the purpose of the source characterization, its images

in the inband spectral range are recorded to measure its size.

Page 126: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

105

7.1 Methods and Materials

7.1.1 Detector and imager

Figure 7.1 is the schematic of the imaging system including a detector unit.

Instead of using an x-ray CCD as the detector, a EUV detector based on a luminescent

material is used. Luminescent crystals are commonly used in detectors for applications in

medical imaging, tomography, and gamma-imaging.

Mo/SiMultilayer mirror

Nd:YAGlaser

Source

Lens

Zirconium filter

Ce:YAGcrystal

Fiber optic coupler

Visible CCD

To the TV or computer

Mo/SiMultilayer mirrorMo/SiMultilayer mirror

Nd:YAGlaser

Source

Lens

Nd:YAGlaser

Source

Lens

Zirconium filter

Ce:YAGcrystal

Fiber optic coupler

Visible CCD

To the TV or computer

Zirconium filter

Ce:YAGcrystal

Fiber optic coupler

Visible CCD

To the TV or computer

Detector unit

Mo/SiMultilayer mirror

Nd:YAGlaser

Source

Lens

Zirconium filter

Ce:YAGcrystal

Fiber optic coupler

Visible CCD

To the TV or computer

Mo/SiMultilayer mirrorMo/SiMultilayer mirror

Nd:YAGlaser

Source

Lens

Nd:YAGlaser

Source

Lens

Zirconium filter

Ce:YAGcrystal

Fiber optic coupler

Visible CCD

To the TV or computer

Zirconium filter

Ce:YAGcrystal

Fiber optic coupler

Visible CCD

To the TV or computer

Detector unit

Figure 7.1 Imaging concept

The EUV source is imaged onto the crystal by a Mo-Si multilayer mirror. To

observe source’s image only at the inband wavelengths, the mirror and a Zr metal filter

combination is used. This limits the observed wavelength of light from the source to be

that within the mirror bandwidth. The crystal converts the EUV light into visible green at

550 nm, and the image formed on the crystal is relayed, via a fiber optic coupler, to a

visible CCD camera to record the image.

Page 127: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

106

The detector unit was built as a student project for our college’s Research

Experience for Undergraduates (REU) program [2]. The project is to develop a new

mode of EUV detector based on Ce:YAG crystal as the luminescent material for image-

data recording purposes. This is an alternative to the conventional techniques, which rely

on films, channel plates or expensive x-ray CCD. High-resolution images, high speed

detections, good conversion efficiency from EUV to visible light are possible with it.

7.2 Experiment

For this experiment, the source is created by using the commercial Nd:YAG laser

(Spectra-Physics Quanta Ray GCR-190): 13 ns pulse duration, 100 Hz, 1064 nm. The 1

Hz precision laser mentioned in Chapter 3 was not used in this experiment because its

low repetition rate cannot supply enough EUV to be recorded by the detector.

Laser

Vacuum chamber

Mirror manipulator

Ce:YAGcrystal housing

EUV source Image arm

CCD camera

Computer/ TV monitor

Laser

Vacuum chamber

Mirror manipulator

Ce:YAGcrystal housing

EUV source Image arm

CCD camera

Computer/ TV monitor

Figure 7.2 Schematic of the experimental setup

Page 128: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

107

The experimental setup is shown in Figure 7.2. The Mo-Si mirror is placed inside

a manipulator, which is connected to the vacuum chamber. The mirror’s tilts can be

adjusted to position the source’s image on the detector. On the image side, the distance

between the detector plane and the mirror is adjusted to obtain a sharp image.

For a mirror with a focal length of 250 mm, and an object distance of 300 mm, the

magnification is 5. In addition, because the tapered fiber optic coupler magnifies the

image by factor of 2.2, the total magnification of the system is 5 x 2.2 = 11. In aligning

the imaging system prior to experiment, a needle tip is used to simulate an object, which

is illuminated by a flashlight. Figure 7.3 is the image recorded by the detector without the

metal filter.

Figure 7.3 Image of a needle tip

During experiment with the EUV source, the separation distance between the

target position and the minimum focus of the laser beam is varied by translating the

focusing lens along the laser axis. The unit step of translation is 200 μm, and an image is

recorded at each position. This scanning process is made from one side of the focus to the

other side, passing the minimum focus.

Page 129: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

108

7.3 Results and Discussions

The images of source along the focal axis are shown in Figure 7.4. The source

size changes gradually as a function of position, from large to small to large, due to the

gradual change of laser spot size along the axis. The plasma sizes across P0 – P8 varies

from 75 – 125 μm. The smallest is at P4, at the minimum focal spot. As expected, the size

is safely below the limit set by the etendue requirement.

Figure 7.4 Inband images of the source as function of target position in the focal region

7.4 Confirmation by FC2 Visit

In June 2004, the Flying Circus team from FOM-Institute of Plasma Physics in

the Netherlands [3] visited the Laser Plasma Laboratory. The source size was measured

with their Flying Circus 2 instrument used as an imager. The system is based on double

reflections to image the source to CCD detector. The detector used is a backthinned x-ray

CCD from Princeton Instrument. An image of the source was recorded (Figure 7.5) and

the result shows that the source size is 75 μm x 79 μm, which is within the range of the

results mentioned in the previous.

Page 130: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

109

200 100 0 100 2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

width

rela

tive

inte

nsity

200 100 0 100 2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

height

rela

tive

inte

nsity

(μm) (μm)200 100 0 100 200

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

width

rela

tive

inte

nsity

200 100 0 100 2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

height

rela

tive

inte

nsity

(μm) (μm)200 100 0 100 200

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

width

rela

tive

inte

nsity

200 100 0 100 2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

height

rela

tive

inte

nsity

(μm) (μm)200 100 0 100 200

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

width

rela

tive

inte

nsity

200 100 0 100 2000

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1

height

rela

tive

inte

nsity

(μm) (μm)

Figure 7.5 Cross sections of the source image (inset) measured by Flying Circus 2 team

7.5 Summary

The two types of imaging schemes give the similar result for the source size

(Figure 7.6). This shows the feasibility of the new mode of detector. The source size,

approximately 100 μm in diameter, is safely within the requirement for a EUV source.

Figure 7.6 Inband source image recorded using two different schemes. The image from the FC2 instrument suffers from pixelation due to its low magnification of 2.

Page 131: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

110

7.6 References

1 K. Ota, Y. Watanabe, H. Franken, V. Banine, “2004 Joint requirements—ASML,

Canon, Nikon,” SEMATECH EUV Source Workshop (Santa Clara, CA, USA,

(22 February 2004) available at

http://www.sematech.org/resources/litho/meetings/euvl/20041105/02_EUV_Sour

ce_Requirements_Ota.pdf

2 C. Mazuir, R. Bernath, A. Goury, C-S. Koay, M. Richardson, “In-band high-

resolution imaging of microscopic 13nm laser plasma,” International EUVL

Symposium: Miyazaki, Japan (November 2004)

3 F. Bijkerk, R. Stuik, C. Bruineman, “Flying Circus 2: a concerted effort of EUV

source development,” SEMATECH EUV Source Workshop (Santa Clara: 2002)

available at http://www.sematech.org/resources/litho/meetings/euvl/20020303/14-

Bijkerk.pdf

Page 132: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

111

CHAPTER 8: TARGET IRRADIATION WITH 355 NM WAVELENGTH LASER

Due to plasma and atomic physics interactions, the spectrum of electromagnetic

radiation from laser plasma is affected by the laser wavelength. In particular, the critical

density of the plasma scales as λL-2, which allows shorter wavelength laser to penetrate

deeper into the plasma. The critical density is given by

22

224

L

oecr e

mcnλ

επ= Eqn 8.1

2

213

)(1012.1)(m

cmnL

cr μλ×

=− Eqn 8.2

where λL is the laser wavelength and the rest are common physical constants.

Of interest is 351 nm, the wavelength of the XeF excimer laser, which Cymer Inc.

is considering to use as a drive laser in a laser plasma system for producing high power,

EUV radiation. Cymer is currently the world's leading supplier of excimer light sources,

based on KrF and ArF, for deep UV lithography. It is also developing EUV source based

on laser plasma.

A project is conducted to observe the effects of laser wavelength on the EUV

radiation emitted from the laser plasma based on tin-doped droplet target. In this work,

the target is irradiated with a 355 nm laser, to imitate the XeF. The source’s inband

Page 133: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

112

spectrum and conversion efficiency are characterized as a function of laser intensity. This

work was initiated by Cymer for LPL.

8.1 Methods and Materials

8.1.1 Experimental setup

The laser plasma system is shown in Figure 8.1. It is equipped with state-of-the-

art EUV diagnostics, and a precision laser. The components needed to produce the source

have been mentioned in Chapter 3, in which the tin-doped droplet target, vacuum

chamber, and laser, are described in detail.

Figure 8.2 is the experimental setup for this study. The focusing lens and laser

windows are made of fused-silica substrate with anti-reflection coatings. Two state-of-

the-art diagnostics, the flat-field spectrograph and the Flying Circus, are connected to the

vacuum chamber. Each is accurately aligned to view the plasma, and oriented at 30o with

respect to the incident laser direction.

The spectrograph is coupled to an x-ray CCD camera to record spectra in digital

format with a computer. The Flying Circus monitors the EUV energy of the source, and a

calibrated photodiode monitors the input laser energy. Signals from both monitors are

fed to a high-speed oscilloscope.

Page 134: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

113

Figure 8.1 Laser plasma system. Photograph shows the complete system, equipped with infrastructure to support the chamber lid, optical breadboard, various state-of-the-art instrumentations, optics and laser beam line, and data acquisition station.

Page 135: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

114

Figure 8.2 Schematic diagram of the experimental setup, consisting the laser beam line, vacuum chamber, diagnostics, and energy monitors. Each of diagnostics, the spectrograph and the Flying Circus, is aligned to the plasma and oriented with the same viewing angle (30 degrees) from the laser direction.

8.1.2 355 nm wavelength laser

The 355 nm laser beam is obtained through the process of third-harmonic (3ω)

generation. The laser providing the fundamental wavelength (1064 nm) is a precision Q-

switched Nd:YAG oscillator-amplifier laser system operating at 1 Hz, producing up to

1.6 J per pulse, with a 11.5 ns (FWHM) pulse duration. The conversion crystals assembly

is placed immediately after the final laser amplifier. The alignment for 3ω generation is

optimized to obtain the highest pulse energy, and the best beam profile.

All the optics thereafter, are based on 355 nm coatings. The mirrors, from CVI-

Laser, are coated for high reflectivity at 45-degree incidence, which can also withstand

Page 136: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

115

high energy pulse. Because of its narrow banded reflectivity, it avoids the fundamental

and the 2nd harmonic from being carried through in the beam to the target. The window

through which the laser beam would enter the vacuum chamber is an optical flat (fused

silica) with anti-reflection coatings on both surfaces.

Figure 8.3 Mirror reflectivity vs. laser wavelength. Narrow band, high reflectivity at 45 degrees incidence for either S or P polarization. Data obtained from CVI laser website.

As shown in Figure 8.4, the beam diameter in the focal region is also accurately

mapped out for a focusing lens with nominal f = 100 mm, plano-convex, fused silica

substrate, anti-reflection coating for 355 nm, from CVI-laser. The smallest beam diameter

measured is 19.0 ± 0.5 μm, and the maximum pulse energy is slightly more than 100 mJ,

thus sufficient to create laser intensities up to 3 x 1012 W/cm2.

Page 137: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

116

Figure 8.4 The 355 nm laser beam diameter in the focal region of a plano-convex lens of f = 100 mm nominal. The minimum diameter is approximately 20 μm.

8.2 Experiment

The laser pulse energy at 3ω is set constant at an average value of 90 mJ. The

target is located centrally in the 45 cm diameter target chamber, which is maintained at

vacuum pressure below 10-3 Torr with a turbo-drag pump that is backed with a roughing

pump. At this pressure, absorption of EUV radiation by the air inside the target chamber

is < 1% for a distance of 100 cm.

Similar to the experiment in Chapter 6, the spectrograph is calibrated against the

EUV energy meter at the initial stage of the experiment. A series of spectra are recorded

for various distances between the focusing lens and the droplet target. Each spectrum is

recorded with the same amount of exposure. Of interest are the locations where the target

is at the focal region. The distances are varied by translating the position of the focusing

lens along the laser axis while keeping the target position fixed, as depicted in Figure 8.5.

0

50

100

150

-0.3 0.2 0.7 1.2 1.7 2.2 2.7 3.2Position (mm)

Bea

m d

iam

eter

(μm

)

0

50

100

150

-0.3 0.2 0.7 1.2 1.7 2.2 2.7 3.2Position (mm)

Bea

m d

iam

eter

(μm

)

Page 138: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

117

A complete scan is made from one side of the focus to the other side, passing through the

minimum focus.

Figure 8.5 The position of the focusing lens is adjustable along laser axis, with respect to the droplet position. The laser intensity irradiating the droplet target depends on the laser pulse energy and the beam diameter at which the droplet is located in the focal region.

8.3 Results and Discussion

8.3.1 Conversion efficiency

Figure 8.6 shows the laser and EUV signals recorded in the initial stage of

instrument calibration. Since the spectrograph is calibrated against the Flying Circus

conversion efficiencies can then be calculated from the spectra. Figure 8.7 shows the

conversion efficiencies for the complete position scan through the laser focus. The dip

near position zero corresponds to the CE when the target is at the minimum focus. The

CE reaches very high values when the target is located at some distance from the focus.

For even farther distance from the focus, the CE drops gradually to negligible value.

Similar to the result in Chapter 6 where a 1064 nm laser was used to irradiate the

target, there are two maxima CE in this result. One of them higher than the other,

although the difference is not as obvious as the result with the 1064 nm laser. The highest

CE also occurs when the target is located in the region where the laser beam is diverging.

Page 139: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

118

Nor

mal

ized

am

plitu

de

0.0

0.2

0.4

0.6

0.8

1.0

1.2

-50 0 50 100 150 200Time (ns)

Laser pulse

EUV pulseN

orm

aliz

ed a

mpl

itude

0.0

0.2

0.4

0.6

0.8

1.0

1.2

-50 0 50 100 150 200Time (ns)

Laser pulse

EUV pulse

0.0

0.2

0.4

0.6

0.8

1.0

1.2

-50 0 50 100 150 200Time (ns)

Laser pulse

EUV pulse

Figure 8.6 Signal of the laser pulse and EUV pulse, as measured on an oscilloscope. Both are normalized at their peaks. The laser pulse appears broadened due to reduced sampling speed (20 MHz) setting.

Then the CE is obtained as a function of laser intensity based on the above result.

Corresponding to each target position, the laser spot diameter irradiating the target is

known since the detailed map in Figure 8.4 is available. The conversion efficiency

increases gradually as a function of increasing laser intensity, and reaches an optimum

value at intensity (1.0 ± 0.2) x 1012 W·cm-2, after which it drops rapidly to small values

(Figure 8.8). The optimum CE measured is slightly above 0.5%. Compared to results

obtained from 1064 nm laser, the CE measured here is significantly smaller. Notice also

that it requires laser intensity about an order higher in magnitude to reach optimum EUV

radiation.

Page 140: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

119

Figure 8.7 The source’s conversion efficiency, from laser energy into useful EUV, as a function of the focus position. The CE variation is nearly symmetrical. The dip at position zero corresponds to the position where the droplet is located at the minimum beam spot. The CE is higher when the droplet target is at some distances from the minimum spot.

Con

vers

ion

Effic

ienc

y (%

)

0.0

0.1

0.2

0.3

0.4

0.5

0.6

-10 -5 0 5 10Position

Con

vers

ion

Effic

ienc

y (%

)

0.0

0.1

0.2

0.3

0.4

0.5

0.6

-10 -5 0 5 10Position

Page 141: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

120

Figure 8.8 Conversion efficiency as function of laser intensity.

8.3.2 Spectra

The laser intensity also affects the spectrum. Spectra shown in Figure 8.9 and

Figure 8.10 are recorded with the same amount of exposure each. Notice that the

prominent spectral feature around 13.5 nm rises in magnitude for increasing laser

intensity until spectrum H, at which the most inband EUV radiation is produced. This

spectral feature is the unresolved transition array (UTA) of radiations from various Sn ion

stages, ranging from Sn7+ to Sn11+ [1, 2]. The peak of the UTA drops rapidly when the

laser intensity is increased further. The same behaviors were reported in Chapter 4. No

noticeable difference on the spectral shape of the UTA is observed between this study

and the one with 1064 nm laser irradiation.

0.0

0.1

0.2

0.3

0.4

0.5

0.6

1E+10 1E+11 1E+12 1E+13

Laser intensity (W/cm2)

Con

vers

ion

Effic

ienc

y (%

)

0.0

0.1

0.2

0.3

0.4

0.5

0.6

1E+10 1E+11 1E+12 1E+13

Laser intensity (W/cm2)

Con

vers

ion

Effic

ienc

y (%

)

0.0

0.1

0.2

0.3

0.4

0.5

0.6

1E+10 1E+11 1E+12 1E+13

Laser intensity (W/cm2)

Con

vers

ion

Effic

ienc

y (%

)

Page 142: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

121

Figure 8.9 Spectra for different laser intensities < 0.98 x 1012 W.cm-2.

Figure 8.10 Spectra for different laser intensities > 0.98 x 1012 W.cm-2.

12 13 14 15 16 17 18 190

1000

2000

3000

4000

5000

6000 A B C D E F G H

Pho

ton

Cou

nt (a

.u.)

Wavelength (nm)

A: 3.47E+10 W/cm2

B: 8.00E+10C: 1.74E+11D: 2.15E+11E: 3.00E+11F: 3.77E+11G: 5.37E+11H: 0.98E+12

12 13 14 15 16 17 18 190

1000

2000

3000

4000

5000

6000 A B C D E F G H

Pho

ton

Cou

nt (a

.u.)

Wavelength (nm)

A: 3.47E+10 W/cm2

B: 8.00E+10C: 1.74E+11D: 2.15E+11E: 3.00E+11F: 3.77E+11G: 5.37E+11H: 0.98E+12

12 13 14 15 16 17 18 190

1000

2000

3000

4000

5000

6000

Pho

ton

Cou

nt (a

.u.)

Wavelength (nm)

H I J K L

H: 0.98E+12 W/cm2

I: 1.30E+12J: 1.68E+12K: 2.01E+12L: 2.44E+12

12 13 14 15 16 17 18 190

1000

2000

3000

4000

5000

6000

Pho

ton

Cou

nt (a

.u.)

Wavelength (nm)

H I J K L

H: 0.98E+12 W/cm2

I: 1.30E+12J: 1.68E+12K: 2.01E+12L: 2.44E+12

Page 143: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

122

12 13 14 15 16 170.0

0.5

1.0

1.5

2.0 A B C D E F G H

Nor

mal

ized

Pho

ton

Cou

nt

Wavelength (nm)

Figure 8.11 Normalized spectra for different laser intensities < 0.98 x 1012 W.cm-2.

12 13 14 15 16 170.0

0.5

1.0

1.5

2.0 H I J K L

Nor

mal

ized

Pho

ton

Cou

nt

Wavelength (nm)

Figure 8.12 Normalized spectra for different laser intensities > 0.98 x 1012 W.cm-2.

Page 144: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

123

8.3.3 Plasma hydrodynamic simulation for different laser wavelengths

Hydrodynamic simulation of the plasma expansion is carried out to look at the

effects of laser wavelengths on the plasma temperature and plasma density. Three laser

wavelengths, 0.35 μm, 1.0 μm, and 10 μm, are compared. All simulation parameters are

the same except for the laser wavelength.

Table 8.1 Critical densities for different laser wavelengths

LASER WAVELENGTH CRITICAL DENSITY

0.35 μm 8.9 x 1021 cm-3

1.0 μm 9.9 x 1020 cm-3

10 μm 9.9 x 1018 cm-3

Shown in Table 8.1 are the critical densities for three different laser wavelengths

calculated from Eqn. 8.2. For shorter wavelength, the critical density would be higher. At

355 nm, the critical density is one order of magnitude higher than that of 1064 nm laser.

At 10 μm, the wavelength similar to that of a CO2 laser, the critical density is two orders

of magnitude lower than that of 1064 nm laser.

Figure 8.13 is the simulation results from MED 103, comparing the plasma

expansion parameters for the three different laser wavelengths. It shows the profiles of

the electron density and temperature at the time when the laser pulse is at its peak. The

laser pulse incidents from right to left. The target and laser paratmeters are to chosen

Page 145: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

124

represent real experimental condition: 35 μm diameter spherical tin-doped droplet target

with 30% tin by mass, laser intensity at target is 1 x 1021 W/cm2, and Gaussian pulse

duration of 11.5 ns.

1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 50 100 150 2000

20

40

60Ne

Te

R(≅m)

0nsλ = 0.35 μm

Te

ne

Tcrit

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 50 100 150 2000

20

40

60Ne

Te

R(≅m)

0nsλ = 0.35 μm

Te

ne

Tcrit

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 2000

20

40

60Ne

Te0nsλ = 1.0 μm

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)

Tcrit

ne

Te1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 2000

20

40

60Ne

Te0nsλ = 1.0 μm

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)

Tcrit

ne

Te

1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 200 3000

20

40

60Ne

Te0nsλ = 10 μm

1016

1018

1020

1022

1024

ne(c

m-3

)

20

0

40

60

Te (eV)Tcrit

ne

Te

1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 200 3000

20

40

60Ne

Te0nsλ = 10 μm

1016

1018

1020

1022

1024

ne(c

m-3

)

20

0

40

60

Te (eV)Tcrit

ne

Te

1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 50 100 150 2000

20

40

60Ne

Te

R(≅m)

0nsλ = 0.35 μm

Te

ne

Tcrit

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 50 100 150 2000

20

40

60Ne

Te

R(≅m)

0nsλ = 0.35 μm

Te

ne

Tcrit

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 2000

20

40

60Ne

Te0nsλ = 1.0 μm

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)

Tcrit

ne

Te1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 2000

20

40

60Ne

Te0nsλ = 1.0 μm

1016

1018

1020

1022

1024

20

0

40

60

ne(c

m-3

) Te (eV)

Tcrit

ne

Te

1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 200 3000

20

40

60Ne

Te0nsλ = 10 μm

1016

1018

1020

1022

1024

ne(c

m-3

)

20

0

40

60

Te (eV)Tcrit

ne

Te

1.0E+16

1.0E+18

1.0E+20

1.0E+22

1.0E+24

0 100 200 3000

20

40

60Ne

Te0nsλ = 10 μm

1016

1018

1020

1022

1024

ne(c

m-3

)

20

0

40

60

Te (eV)Tcrit

ne

Te

Figure 8.13 Hydrodynamic expansion of the laser plasma based on the tin-doped target, under the same irradiation conditions except for the wavelength. The effect of three laser wavelengths, 0.35 μm, 1.0 μm and 10 μm, are compared.

Comparing the maximum electron temperature in the plasma, the interaction with

shorter wavelength laser would require a higher laser intensity to get to the plasma

temperature for it to get to the optimum emission condition. This behavior is the same as

observed in this experiment.

Page 146: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

125

For shorter laser wavelength, the critical density is higher, and thus the laser pulse

would penetrate deeper into the plasma. The simulation shows that the plasma scale

length is longer for shorter wavelength laser, where the plasma density remains higher for

a longer distance from the target surface. Therefore, the EUV which is generated deep in

plasma would have to travel through optically thicker plasma, and thus suffers from more

absorption, before escaping into vacuum. This perhaps explains the low conversion

efficiency obtained with the short wavelength laser.

8.4 Summary

The EUV radiation from the laser plasma produced from the tin-doped droplet

target irradiated with 3ω laser has been characterized using calibrated EUV energy meter

and high-resolution spectrograph. The conversion efficiencies of the plasma were

measured over a wide range of laser intensities (1010 – 1013 W.cm-2). The optimum CE

was measured to be about 0.5%, and it occurred at the laser intensity of (1.0 ± 0.2) x 1012

W·cm-2.

The spectral shape of the UTA shows no noticeable difference in contrast to

studies made with 1064 nm laser irradiation. The source’s conversion efficiency is

significantly lower when laser at 355 nm is used, as compared to the CE with 1064 nm.

The low value is believed to be due to self-absorption. Results from hydrodynamic

simulation indicate the same behavior.

Page 147: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

126

8.5 References

1 G. O’Sullivan and R. Faulkner, “Tunable narrowband soft x-ray source for

projection lithography,” Opt. Eng., vol. 33, pp. 3978-3983 (1994)

2 S. George, C-S. Koay, K. Takenoshita, R. Bernath, M. Al-Rabban, C. Keyser, V.

Bakshi, H. Scott, M. Richardson, “EUV spectroscopy of mass-limited Sn-doped

laser microplasmas,” Proc. SPIE, vol. 5751, pp. 798-807 (2005)

Page 148: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

127

CHAPTER 9: TARGET IRRADIATION WITH TWO LASER BEAMS

Time-resolved interferometry had been used to study the plasma expansion

dynamics of the plasma created from water droplet irradiated with a single beam of

Nd:YAG 1064 nm laser [1]. Under optimum EUV emission condition, the interferograms

indicated that the plasma is not spherically uniform, especially in the transverse direction

of the laser direction. In addition, the backside of the plasma appears not completely

ionized, even at the end of the laser pulse.

Because the target geometry and size of our tin-doped droplet is the same as that

of the water droplet, the similar plasma expansion dynamics is to be expected. By

irradiating the target with multiple laser beams would probably improve the plasma

symmetry and the coupling of laser energy into the source. This could probably lead to

increased conversion efficiency.

9.1 Methods and Materials

9.1.1 Experimental setup

The experimental setup for this study involves irradiating the tin-doped droplet

target with two laser beams simultaneously. The two beams are collinear but in opposing

directions as shown in Figure 9.1. The precision Nd:YAG laser, which is mentioned in

Chapter 3, is used to the 1064 nm wavelength. The main beam is splitted into two beams

Page 149: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

128

on the laser’s optical table. Then, they are guided to the target chamber by means of

mirrors. As in previous chapters, the tin-doped droplet target contains 30% of Sn by mass,

which is prepared from a mixture of tin-salt (SnCl2) and deionized water.

Figure 9.1 Two beam irradiation

The laser beams enter the vacuum chamber through a vacuum window each, as

shown in Figure 9.2. Each beam is aligned and focused on to the target, which is located

at the center of the chamber, with an f = 100 mm focal length lens. The position of each

lens, with respect to the target position, is controlled with translation stages. The lens for

Beam 1 has XY linear translations, while the lens for Beam 2 has XYZ translations. The

laser beam energy is measured with a calibrated Gentec energy meter. The Flying Circus

EUV energy meter and the flat-field spectrograph are connected to the chamber, such that

each instrument views the plasma at the same angle (30 degrees), with respect to the

direction of Beam 1.

Page 150: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

129

Figure 9.2 Experimental setup. Beam 1 and 2 are collinear but in opposing directions. The EUV energy meter and the spectrograph connect to the vacuum chamber at 30 degrees with respect to Beam 1 each.

9.1.2 Beam splitting and energy control

A beam control assembly built with a combination of a light valve and beam

splitter was built. It is capable of controlling the laser beams’ energy at the target, as well

as controlling the energy ratio between Beam 1 and 2. As shown in Figure 9.3, the main

laser beam incidents on the beam control assembly from the right to left. The

combination of half-wave plate HWP 1 and the glan laser functions as a light valve. The

main beam’s linear polarization can be rotated by rotating HWP 1, which is on a rotation

mount. In this setup, the glan laser passes the horizontal component of the polarization,

Vacuumchamber

Plasma

Lens 1 Beam 1

FlyingCircus

Flat-FieldSpectrograph

X-rayCCD

Lens 2Beam 2

Vacuumchamber

Plasma

Lens 1 Beam 1

FlyingCircus

Flat-FieldSpectrograph

X-rayCCD

Lens 2Beam 2

Page 151: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

130

but dumps the vertical component. Therefore, the laser energy coming out of the glan

laser can be controlled depending on HWP 1’s angular position.

Figure 9.3 The optical assembly for controlling the energy in Beam 1 and 2.

The second part of the assembly consists of a half-wave plate HWP 2 and a

polarizing beam splitter. Rotating HWP 2 can change the ratio of the energy between

Beam 1 and Beam 2. In the experiment, the energy in both beams is set equal to each

other. After beam splitting, each beam is guided to the target chamber by using multiple

45-degree incidence mirrors, with high reflection coating for 1064 nm. The path

difference between the two beams creates a temporal delay of less than 1 ns.

9.1.3 Design of experiment

The energy for both laser beams is set equal to each other at approximately 100

mJ. A few set of measurements, involving EUV energy and spectral data, are made to

have a complete experiment. Initially the flat-field spectrograph is cross calibrated

against the Flying Circus EUV energy meter. After this calibration, only spectral

HWP 1HWP 2Glanlaser

Beamsplitter

Beam 1

Beam 2

To Dump

HWP 1HWP 2Glanlaser

Beamsplitter

Beam 1

Beam 2

To Dump

Page 152: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

131

measurements are needed. From these spectra, the value of conversion efficiency can be

calculated from the method described in Chapter 6. The following are the measurements

made, divided in a few parts. All parts are completed in one experimental run in the

following order.

a. Scanning Lens 1 position. The position of Lens 1 is translated, at a

specific step size along the laser axis, to irradiate the target from one side

of focus to the other. This varies the laser intensity irradiating the target.

Each step size is 317.5 μm, equals to the distance traveled by one

revolution of an 80 TPI fine thread screw. At each position, a EUV

spectrum is recorded, with the x-ray CCD exposed over the duration of 10

laser shots. Beam 2 is blocked from entering the vacuum chamber

throughout this part of experiment.

b. Scanning Lens 2 position. In this part, the procedure is the same as the

previous part. The only difference is that here, Beam 2 irradiates the target

while Beam 1 is blocked. Position of Lens 2 is translated the same way as

the previous part.

c. Adjusting lenses to position for the highest EUV emission. After obtaining

the spectral data from the two parts above, the spectra are inspected to

determine the position for each lens when the greatest amount of EUV

emission was observed during the scan. Then, the position of each lens is

adjusted to its particular position. This position would correspond to

where the maximum CE is achievable for each beam.

Page 153: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

132

d. Energy scanning with two beams irradiation. In this part, the positions of

the lenses have been adjusted such that each beam would produce the

highest amount of EUV possible. It is important to make sure the two

beams would irradiate the same target. Two steps are involved. First,

allow only Beam 1 to irradiate the target. Then, the lateral position of Lens

2 is adjusted until Beam 2 irradiates the same target position. These two

steps ensure the two beams are irradiating the same target. The phase-

locked loop unit synchronizes the target to the laser pulses. After making

sure the two beams are irradiating the same target, at the same time,

spectral data are recorded. For each setting of laser energy, three spectra

are recorded. The laser energy is varied by adjusting HWP 1 in the laser

energy control assembly. The first spectrum is recorded for irradiation

with only Beam 1. The second spectrum, for only Beam 2. The third

spectrum is when both beams are irradiating the target.

9.2 Results and Discussions

9.2.1 Single beam illumination

Since the spectrograph has been calibrated against the EUV energy meter, a value

of conversion efficiency can be calculated from each spectrum recorded by the x-ray

CCD, by using the method described in Chapter 6. The first and second part of the

experiment involves irradiating the droplet target with single laser beam. The lens

position is translated, with a specific step size, along the laser axis to vary the laser

intensity irradiating the target. The three main irradiation configurations are in Figure 9.4.

Page 154: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

133

AA

BB

CC

AA

BB

CC

Figure 9.4 Scanning the lens position with respect to the target position, to vary the laser intensity irradiating the target.

Figure 9.5 shows two results, one with Beam 1 only, and the other with Beam 2

only. For both cases, the maximum conversion efficiency occurs when the droplet is

located at some distances from the minimum focus. The horizontal axis indicates the lens

position, with respect to the target, as it is scanned through focus. The dip in the middle

indicates that very low EUV emission when the target is located in at the minimum focus,

where the laser intensity is very high. Two maxima occur for configuration A and C.

Their positions are the same for both Beam 1 and Beam 2. This result is similar to that

mentioned in Chapter 6. This indicates the repeatability of the experiment. Although the

intensity is the same for A and C, the conversion efficiency is higher for C than for A.

The only difference between both configurations is that in A, the laser irradiating the

Page 155: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

134

target is converging, wheras as C, the beam is diverging. This indicates the effect of

irradiation geometry on the conversion efficiency. This effect is not yet understood.

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 100.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 100.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 100.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 100.0

0.5

1.0

1.5

2.0

2.5

-10 -5 0 5 10Position

Con

vers

ion

effic

ienc

y (%

)

A

B

C

Figure 9.5 (Top) Conversion efficiency measured for various positions of Lens 1 along the laser beam, with only Beam 1 irradiating the target. (Bottom) Conversion efficiency measured for various positions of Lens 2 along the laser beam, with only Beam 2 irradiating the target.

Page 156: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

135

Because the complete map of the beam diameter is known, the results in Figure

9.5 can be displayed as a function of laser intensity as in Figure 9.6. For both Beam 1,

and Beam 2, the conversion efficiency reaches a maximum at laser intensity around 1 x

1011 W/cm2, which is the same as previous results.

0.0

0.5

1.0

1.5

2.0

2.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

2.0

2.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

0.0

0.5

1.0

1.5

1E+09 1E+10 1E+11 1E+12Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

)

Figure 9.6 Conversion efficiency as function of laser intensity for Beam 1 only (top), and Beam 2 only (bottom).

Page 157: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

136

9.2.2 Simultaneous two beams irradiation

Both Beam 1 and Beam 2 made to irradiate the same target simultaneously. Lens

1 and Lens 2 are positioned in configuration C each, where the highest conversion

efficiency was observed for each laser beam. The ratio of energy in both beams is set

equal to each other. The intensity at which the target is irradiated is varied by adjusting

HWP 1. For each laser intensity setting, three measurements are made: Beam 1 only,

Beam 2 only, and two beams together. The results are shown in Figure 9.7.

0.0

0.5

1.0

1.5

2.0

2.5

1.0E+10 1.0E+11Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

) Beam 1

Beam 2Two Beams

0.0

0.5

1.0

1.5

2.0

2.5

1.0E+10 1.0E+110.0

0.5

1.0

1.5

2.0

2.5

1.0E+10 1.0E+11Intensity (W/cm2)

Con

vers

ion

effic

ienc

y (%

) Beam 1

Beam 2

Beam 1

Beam 2Two Beams

Figure 9.7 Conversion efficiency as function of laser intensity for three cases: Beam 1 only, Beam 2 only, and two beams together.

Here, the conversion efficiency for Beam 1, and Beam 2, vary in the same manner

as seen in Figure 9.6. For the case of two beams irradiation, the conversion efficiency

takes into account of the sum of energies from the two laser beams. The result appears to

Page 158: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

137

be in the middle, which is probably equal to the sum of the contributions from each beam

and divided by 2.

The result mentioned in Chapter 6 shows that a roll over occurs for the case of

single beam irradiation, around 1 x 1011 W/cm2, where the conversion efficiency

decreases when the laser intensity increases. This however may not be the situation for

two beams irradiation due to a different distribution of plasma expansion, as will be

discussed next.

9.2.3 Angular dependence of single beam irradiation

The EUV energy meter observes the plasma at 30 degrees with respect to the

direction of Beam 1, whereas for Beam 2, the angle is 150 degrees. Comparing the results

from the two cases, the difference in the conversion efficiencies indicates that the

radiation from the plasma is not isotropic. Using interferometry, Keyser et al. observed

asymmetric plasma expansion in laser plasma based on water droplet target. This could

be the explanation to this non-isotropic behavior.

The angular dependence is modeled as described by

)cos()( θθ nCECE o ⋅= Eqn 9.1

where θ is the observation angle with respect to the direction of the incident laser.

Constants CEo and n are determined from the two values of conversion efficiencies

obtained empirically (Figure 9.7). By taking CE(30 deg) = 2.25 and CE(150 deg) = 1.0,

the two parameters can be solved numerically, resulting in

CEo = 2.31

Page 159: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

138

n = 0.43

The model is plotted in polar coordinate as shown in Figure 9.8. The origin could

be regarded as the position of the source. The arrow (in dotted line) points to the direction

of the EUV energy meter. The blue curve is the the conversion efficiency according to

the model when the target is irradiated only by Beam 1. The circular black curve (dashed)

denotes an isotropic distribution. The model shows that only the front part of the

emission is isotropic. The top, bottom, and the back sides are depart from significantly

from isotropicity. The emission within -90o and 90o contains 64% of the total emission.

-2 -1 1 2

-2

-1

1

2

Beam 1

To EUVenergy meter

CE = 2.25%

-2 -1 1 2

-2

-1

1

2

Beam 1Beam 1

To EUVenergy meter

CE = 2.25%

Figure 9.8 Conversion efficiency in polar coordinate for the case when only Beam 1 irradiates the target.

The results in Figure 9.7 show that the conversion efficiency, for the case when

the target is irradiated by two beams, is approximately half of the sum from the

Page 160: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

139

contribution of each laser beam. According to this assumption, the green curve in Figure

9.9 would be the angular distribution for the two-beam configuration. The distribution is

more isotropic compared to that of single-beam configuration.

-2 -1 1 2

-1.5

-1

-0.5

0.5

1

1.5

Beam 1Beam 2

To EUVenergy meter

1.6%

2.25%

1.0%

-2 -1 1 2

-1.5

-1

-0.5

0.5

1

1.5

Beam 1Beam 2 Beam 1Beam 2

To EUVenergy meter

1.6%

2.25%

1.0%

1.6%

2.25%

1.0%

2.25%

1.0%

Figure 9.9 Polar plot of the conversion efficiency as function of viewing angle for all three cases.

Due to the symmetry of the two-beam configuration, the front and back sides of

the plasma would contain equal amount of emission. Comparing the blue and the green

curves, considering the hemisphere facing Beam 1, there is more emission in the single-

beam configuration than the two-beam. Since the large solid angle (nearly 2π sr) collector

optic in a EUV scanner would collect light emitted in a hemisphere, it seems more

advantageous to use the single-beam configuration.

Page 161: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

140

9.3 Summary

Angular distribution of the EUV emission is found to be non-isotropic in the

single-beam configuration. The two-beam configuration is explored as a possible method

to increase conversion efficiency. Improvement is not observed under the range of

observation made in this work, which covers only the region where the laser intensity is

lower than 1 x 1011 W/cm2.

Beyond this intensity, the conversion efficiency decreases for the case of single-

beam configuration, as was observed in Chapter 6. This may not be the situation for the

two-beam configuration whose the angular distribution is significantly different from that

of single-beam. Experiments at laser intensities higher than 1 x 1011 W/cm2 will provide

evidence on whether its conversion efficiency will continue to increase.

9.4 References

1 C. Keyser, G. Schriever, M. Richardson, E. Turcu, “Studies of high-repetition-rate

laser plasma EUV sources from droplet targets,” Appl. Phys. A 77, pp. 217–221

(2003)

Page 162: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

141

CHAPTER 10: CONCLUSION AND FUTURE DIRECTIONS

10.1 Conclusion

The Laser Plasma Laboratory at CREOL is developing a laser plasma based on a

tin-doped droplet target specifically as a source of EUV illumination in a commercial

EUVL scanner [1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11]. The approach incorporates tin with the

mass-limited concept, from which the tin-doped microscopic droplet targets are created.

These droplets can easily be produced at high repetition rates, beyond 10 kHz. Laser

plasma that uses tin (Sn, atomic number = 50) has intense emission around 13.5 nm. In

addition, the mass-limited approach leads to low debris operation. The advantage of this

source concept is in its combination of all the best features needed for a successful source:

high conversion efficiency, high repetition rate and low debris.

In this work, characterization and optimization of the EUV emission from the

source were accomplished through many optical techniques including spectroscopy,

radiometry, and imaging. Through spectroscopy, the source’s spectrum was characterized

under various laser irradiation conditions. The Sn ion stages responsible for emitting into

the useful EUV were determined, and the prediction of the Collision-Radiative

Equilibrium model was demonstrated experimentally. Moreover, an extensive survey of

the source’s spectrum was achieved from 1 nm to 30 nm, which covers nearly the entire

electromagnetic spectrum region defined as EUV.

Page 163: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

142

The source’s conversion efficiency was characterized under various laser

irradiation conditions using calibrated metrology. The predicted condition, at which

optimum conversion could be attained, was demonstrated experimentally. The highest

conversion efficiency measured is in excess of 2%, which is the highest value among all

EUV source contenders. In addition, laser wavelength was shown to affect the source’s

conversion efficiency, and this behavior was explained with simulation results.

The size of the plasma was characterized and was determined to be safely within

the etendue limit set by the optical elements in the EUV scanner. The angular distribution

of the EUV emission from a single-beam irradiation was determined to be non-isotropic.

Two-beam irradiation was explored for the first time and resulted in interesting

observations. Debris mitigation and debris characterization are also being carried out in

another research program in the development of this source. Promising results have been

demonstrated from these studies [12, 13, 14].

Having these knowledge and accomplishments, the source is standing closer to be

a high power EUV source than it was a few years ago. Some future directions are

recommended in the following.

10.2 Future directions

10.2.1 Irradiation with longer wavelength laser

The studies about the effects of laser wavelengths on the source’s radiation

properties, and its conversion efficiency, indicate the advantages of irradiating the target

with longer wavelength laser. Conversion efficiency and spectral measurements carried

Page 164: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

143

out in experiments with carbon-dioxide laser at wavelength of 10 μm, is a possible test to

investigate how high the conversion efficiency could get to.

10.2.2 Extended studies on two-beam configuration

The two-beam configuration was explored as a possible method to increase

conversion efficiency. Improvement is not observed in the region where the laser

intensity is lower than 1 x 1011 W/cm2. Beyond this intensity, the conversion efficiency

was observed to decrease for the case of single-beam configuration. This may not be the

situation for the two-beam configuration whose the angular distribution is significantly

different from that of single-beam. Therefore, extended measurements of the conversion

efficiency at laser intensities higher than 1 x 1011 W/cm2 could provide evidence on

whether its conversion efficiency would continue to increase.

10.2.3 High power EUV source demonstration

The droplet targets can be produced at a rate beyond 10 kHz. However, all the

radiation characterizations were made with the source operating at only 1 Hz. This

limitation was due to the repetition rate of the driving laser. And therefore the source did

not have a chance to prove its capability as a high power source during these studies.

Although limited in this sense, the optimum conditions at which the source would

perform have been very well characterized through this work. It is thus reasonable to

have a future work in which the tin-doped droplet target is coupled to a high average

power, high repetition rate laser. This will be the first high-power demonstration for this

EUV source.

Page 165: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

144

10.3 References

1 Martin C. Richardson, G. O'Sullivan, "EUV Sources – the case for tin,"

SEMATECH Workshop on Sources for EUV Lithography (Santa Clara, CA), 22

February 2003.

C-S. Koay, C. Keyser, K. Takenoshita, M. Al-Rabban, M. Richardson, I.C.E.

Turcu, H. Rieger, A. Stone, J. H. Morris, “High conversion efficiency Tin

material laser plasma source for EUVL,” International Symposium on

Microlithography (Santa Clara, CA), 23-28 February 2003

K. Takenoshita, C-S. Koay, M. Richardson, I.C.E. Turcu, “The repeller field

debris mitigation approach for EUV sources,” International Symposium on

Microlithography (Santa Clara, CA), 23-28 February 2003.

2 C. Keyser, C-S. Koay, K. Takenoshita, M. Richardson, I.C.E. Turcu, "High

conversion efficiency mass-limited laser plasma source for EUV lithography,"

Conference on Lasers and Electro-Optics (CLEO) (Baltimore, MD), June 2003.

3 M. Richardson, C-S. Koay, C. Keyser, K. Takenoshita, E. Fujiwara, M. Al-

Rabban, "High-efficiency tin-based EUV sources," Laser-Generated and Other

Laboratory X-Ray and EUV Sources, Optics, and Applications, SPIE (San Diego,

CA), volume 5196, number 14, August 2003.

4 M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser, M. Al-Rabban, E.

Fujiwara, “The case for tin as an EUV source,” EUV Source Workshop (Antwerp,

Belgium), 29 September 2003.

M. Richardson, "Modeling requirements for Sn laser plasma EUV sources," EUV

Page 166: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

145

Source Workshop (Antwerp, Belgium), 28 September 2003. Invited paper.

C-S. Koay, K. Takenoshita, M. Al-Rabban, C. Keyser, M. Richardson, “An

efficient, mass-limited, tin-doped laser plasma source for EUVL,”2nd

International Symposium on EUV Lithography (Antwerp, Belgium), 30

September-3 October 2003.

M. Al-Rabban, M. Richardson, "Radiation code calculations of tin plasma EUV

emission," 2nd International Symposium on EUV Lithography (Antwerp,

Belgium), 30 September-3 October 2003.

K. Takenoshita, C-S. Koay, S. Teerawattanasook, M. Richardson, “Repeller Field

debris mitigation for mass-limited, tin-doped laser-plasma EUV sources,” 2nd

International Symposium on EUV Lithography (Antwerp, Belgium), 30

September-3 October 2003.

5 M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser, I.C.E. Turcu, “High

conversion efficiency mass-limited Sn-based laser plasma source for EUV

lithography,” International Conference for Electron, Ion & Photon Beam

Technology and Nanofabrication, American Vacuum Society (Tampa, FL), 27-29

May 2003

6 C-S. Koay, K. Takenoshita, C. Keyser, M. Al-Rabban, S. George, M.

Richardson,"Spectroscopic studies of the Sn-based droplet laser plasma EUV

source," SPIE Microlithography Symposium (Santa Clara, CA), 22-27 February

2004.

M. Al-Rabban, S. George, C-S. Koay, C. Keyser, M. Richardson, "Model

calculations of plasma expansion and EUV emission from Xe and Sn laser

Page 167: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

146

plasmas," EUV Source Workshop (Santa Clara, CA), 21 February 2004.

K. Takenoshita, C-S. Koay, S. Teerawattanasook, M. Richardson, “Debris studies

for the tin-based droplet laser-plasma EUV source," SPIE Microlithography

Symposium (Santa Clara, CA), 22-27 February 2004.

7 M. Richardson, C-S. Koay, K. Takenoshita, C. Keyser, R. Bernath, S. George, S.

Teerawattanasook, “Diagnostics of laser plasma EUV sources for Lithography,”

Proceedings of the 25th International Congress on High Speed Photography, SPIE

(Alexandria, VA, USA, 2004), 22-24 September 2004.

8 M. Richardson, C-S. Koay, S. George, K. Takenoshita, R. Bernath, M. Al-Rabban,

H. Scott, V. Bakshi,"The tin-doped droplet laser-plasma EUV source,"

International Symposium on EUV Lithography (Miyazaki, Japan), 1-5 November

2004. Invited paper.

C-S. Koay, S. George, K. Takenoshita, M. Al-Rabban, R. Bernath, E. Fujiwara, M.

Richardson, “Precision 13.5 nm metrology of the tin-doped droplet laser plasma

EUV source,” International Symposium on EUV Lithography (Mayazaki, Japan),

1-5 November 2004

S. George, C-S. Koay, Kazutoshi Takenoshita, R. Bernath, M. Richardson, M. Al-

Rabban, H. Scott, V. Bakshi, “EUV spectroscopy of mass-limited Sn-doped laser

plasmas,” International Symposium on EUV Lithography (Mayazaki, Japan), 1-5

November 2004

M. Al-Rabban, M. Richardson, T. Blenski, M. Pourier, F. Gilleron, H. Scott, V.

Bakshi, "Modeling laser-plasma sources for EUV," International Symposium on

EUV Lithography (Miyazaki, Japan), 1-5 November 2004.

Page 168: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

147

M. Al-Rabban, M. Richardson, C-S. Koay, S. George, H. Scott, V. Bakshi,

"Radiation transport modeling for Xe and Sn-doped droplet laser-plasma

sources ," International Symposium on EUV Lithography (Miyasaki, Japan), 1-5

November 2004.

K. Takenoshita, C-S. Koay, S. Teerawattanasook, M. Richardson, V. Bakshi "Ion

emission characterization from microscopic laser-plasma tin-doped droplet

sources,” International Symposium on EUV Lithography (Mayazaki, japan), 1-5

November 2004.

C. Mazuir, R. Bernath, A. Goury, C-S. Koay, M. Richardson, “In-band high-

resolution imaging of microscopic 13 laser-plasma sources,” International

Symposium on EUV Lithography (Miyazaki, Japan), 1-5 November 2004

9 M. Richardson, C-S. Koay, "EUV Lithography: Overcoming the Source

Problem," 3rd Annual Optoelectronics Symposium of the Optics Center at UNC-

Charlotte (Charlotte, NC), 6 November 2004. Invited paper.

C-S. Koay, K. Takenoshita, S. George, M. Al-Rabban, R. Bernath, E. Fujiwara, M.

Richardson, "High repetition-rate laser-plasma light source for EUV lithography,"

Optics in the Southeast (Charlotte, NC), 4-5 November 2004. Invited paper.

10 M. Richardson, C. Koay, S. George, K. Takenoshita, R. Bernath, M. Al-Rabban,

H. A. Scott, V. Bakshi, “EUV source parameters of the laser-produced tin-doped

micro-plasma,” SPIE Microlithography Symposium (San Jose, CA, USA, 2005),

1-4 March 2005.

C-S. Koay, S. George, K. Takenoshita, R. Bernath, E. Fujiwara, M. Richardson,

Page 169: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

148

“High-conversion efficiency microscopic tin-doped droplet laser plasma source

for EUVL,” SPIE Microlithography Symposium (San Jose, CA, USA, 2005), 1-4

March 2005.

S. George, R. Bernath, C. Koay, K. Takenoshita, M. Richardson, M. Al-Rabban,

H. A. Scott, V. Bakshi, “EUV spectroscopy of mass-limited Sn-doped laser

micro-plasmas,” SPIE Microlithography Symposium (San Jose, CA, USA, 2005),

1-4 March 2005.

M. Al-Rabban, M. Richardson, S. George, C. Koay, K. Takenoshita, R. Bernath,

H. A. Scott, V. Bakshi, “Radiation transport modeling for Xe and Sn-doped

droplet laser-plasma sources,” SPIE Microlithography Symposium (San Jose, CA,

USA, 2005), 1-4 March 2005.

K. Takenoshita, C. Koay, S. Teerawattansook, D. Malocha, M. Richardson,

“Debris characterization and mitigation from microscopic laser-plasma tin-doped

droplet EUV sources,” SPIE Microlithography Symposium (San Jose, CA, USA,

2005), 1-4 March 2005.

11 M. Richardson, C. Koay, S. George, K. Takenoshita, R. Bernath, T. Schmid, M.

Al-Rabban, V. Bakshi, “Cost-effective laser-plasma sources for EUVL,” SPIE

Microlithography Symposium (San Jose, CA, USA, 2006), 19–24 February 2006.

C-S. Koay, S. A. George, K. Takenoshita, M. Richardson, M. M. Al-Rabban,

“Factors affecting the conversion efficiency of tin laser plasma 13.5-nm source,”

SPIE Microlithography Symposium (San Jose, CA, USA, 2006), 19–24 February

2006.

Page 170: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

149

S. George, C-S. Koay, K. Takenoshita, R. Bernath, G. Shimkaveg, M. Richardson,

M. Al-Rabban, V. Bakshi, “Out-of-band radiation from tin droplet laser plasma

EUV source,” SPIE Microlithography Symposium (San Jose, CA, USA, 2006),

19–24 February 2006.

M. Al-Rabban, S. George, G. Shimkaveg, W. Silfvast, C-S. Koay, K. Takenoshita,

R. Bernath, M. Richardson, H. Scott, “EUV generation from lithium laser plasma

for lithography,” SPIE Microlithography Symposium (San Jose, CA, USA, 2006),

19–24 February 2006.

T. Schmid, K. Takenoshita, C-S. Koay, S. George, S. Teerawattansook, M.

Richardson, “Debris mitigation for high-NA laser plasma EUVL sources,” SPIE

Microlithography Symposium (San Jose, CA, USA, 2006), 19–24 February 2006.

K. Takenoshita, C-S. Koay, S. George, T. Schmid, S. Teerawattansook, M.

Richardson, “Ion flux and collector mirror erosion study for microscopic laser-

plasma tin-doped droplet EUV sources,” SPIE Microlithography Symposium (San

Jose, CA, USA, 2006), 19–24 February 2006.

12 K. Takenoshita, C-S. Koay, M. Richardson, I.C.E.Turcu, “Repeller field debris

mitigation approach for EUV sources,” Proc. SPIE, vol. 5037, pp. 792-800 (2003)

13 K. Takenoshita, C-S. Koay, S. Teerawattanasook, M. Richardson, “Debris studies

for the tin-based droplet laser-plasma EUV source,” Proc. SPIE, vol. 5374, pp.

954-963 (2004)

14 K. Takenoshita, C-S. Koay, S. Teerawattansook, M. Richardson, “Debris

characterization and mitigation from microscopic laser-plasma tin-doped droplet

EUV sources,” Proc. SPIE, vol. 5751, pp. 582-590 (2005)

Page 171: Radiation Studies Of The Tin-doped Microscopic Droplet Laser Plasma Light Source Specific To

150