PrimeTime Commands · iv compare_interface_timing . . . . . . . . . . . . . . . . . . . . . . . . ....

1427
PrimeTime Commands Version F-2011.12, December 2011

Transcript of PrimeTime Commands · iv compare_interface_timing . . . . . . . . . . . . . . . . . . . . . . . . ....

  • PrimeTime CommandsVersion F-2011.12, December 2011

  • PrimeTime Commands, version F-2011.12 ii

    Copyright Notice and Proprietary InformationCopyright © 2011 Synopsys, Inc. All rights reserved. This software and documentation contain confidential and proprietary information that is the property of Synopsys, Inc. The software and documentation are furnished under a license agreement and may be used or copied only in accordance with the terms of the license agreement. No part of the software and documentation may be reproduced, transmitted, or translated, in any form or by any means, electronic, mechanical, manual, optical, or otherwise, without prior written permission of Synopsys, Inc., or as expressly provided by the license agreement.

    Right to Copy DocumentationThe license agreement with Synopsys permits licensee to make copies of the documentation for its internal use only. Each copy shall include all copyrights, trademarks, service marks, and proprietary rights notices, if any. Licensee must assign sequential numbers to all copies. These copies shall contain the following legend on the cover page:

    This document is duplicated with the permission of Synopsys, Inc., for the exclusive use of __________________________________________ and its employees. This is copy number __________.

    Destination Control StatementAll technical data contained in this publication is subject to the export control laws of the United States of America. Disclosure to nationals of other countries contrary to United States law is prohibited. It is the reader s responsibility to determine the applicable regulations and to comply with them.

    DisclaimerSYNOPSYS, INC., AND ITS LICENSORS MAKE NO WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, WITH REGARD TO THIS MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE.

    Registered Trademarks (®)Synopsys, AEON, AMPS, ARC, Astro, Behavior Extracting Synthesis Technology, Cadabra, CATS, Certify, Chipidea, CHIPit, CODE V, CoMET, Confirma, CoWare, Design Compiler, DesignSphere, DesignWare, Eclypse, Formality, Galaxy Custom Designer, Global Synthesis, HAPS, HapsTrak, HDL Analyst, HSIM, HSPICE, Identify, Leda, LightTools, MAST, MaVeric, METeor, ModelTools, NanoSim, NOVeA, OpenVera, ORA, PathMill, Physical Compiler, PrimeTime, SCOPE, SiVL, SNUG, SolvNet, Sonic Focus, STAR Memory System, Syndicated, Synplicity, Synplify, Synplify Pro, Synthesis Constraints Optimization Environment, TetraMAX, the Synplicity logo, UMRBus, VCS, Vera, and YieldExplorer are registered trademarks of Synopsys, Inc.

    Trademarks (™)AFGen, Apollo, ASAP, Astro-Rail, Astro-Xtalk, Aurora, AvanWaves, BEST, Columbia, Columbia-CE, Cosmos, CosmosLE, CosmosScope, CRITIC, CustomExplorer, CustomSim, DC Expert, DC Professional, DC Ultra, Design Analyzer, Design Vision, DesignerHDL, DesignPower, DFTMAX, Direct Silicon Access, Discovery, EMBED-IT!, Encore, EPIC, Galaxy, HANEX, HDL Compiler, Hercules, Hierarchical Optimization Technology, High-performance ASIC

    Prototyping System, HSIMplus

    , i-Virtual Stepper, IICE, in-Sync, iN-Tandem, Intelli, Jupiter, Jupiter-DP, JupiterXT, JupiterXT-ASIC, Liberty, Libra-Passport, Library Compiler, Macro-PLUS, Magellan, Mars, Mars-Rail, Mars-Xtalk, Milkyway, ModelSource, Module Compiler, MultiPoint, ORAengineering, Physical Analyst, Planet, Planet-PL, Polaris, Power Compiler, Raphael, RippledMixer, Saturn, Scirocco, Scirocco-i, SiWare, Star-RCXT, Star-SimXT, StarRC, System Compiler, System Designer, Taurus, TotalRecall, TSUPREM-4, VCSi, VHDL Compiler, VMC, and Worksheet Buffer are trademarks of Synopsys, Inc.

    Service Marks (SM)MAP-in, SVP Café, and TAP-in are service marks of Synopsys, Inc.

    SystemC is a trademark of the Open SystemC Initiative and is used under license.ARM and AMBA are registered trademarks of ARM Limited.Saber is a registered trademark of SabreMark Limited Partnership and is used under license.All other product or company names may be trademarks of their respective owners.

  • Table of Contents 1

    add_to_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1

    add_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4

    all_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

    all_connected . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

    all_correlations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

    all_fanin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

    all_fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

    all_inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

    all_instances. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

    all_outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

    all_registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

    all_variations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

    append_to_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

    cell_of . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27

    change_selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

    characterize_context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

    check_block_scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

    check_level_shifter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

    check_level_shifters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

    check_noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

    check_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

    check_timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

    Collections_and_Querying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51

    compare_collections. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56

  • compare_interface_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

    complete_net_parasitics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

    connect_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

    connect_power_domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

    connect_power_net_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

    connect_supply_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72

    copy_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74

    cputime. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

    create_activity_waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 77

    create_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

    create_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83

    create_correlation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86

    create_generated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88

    create_histogram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

    create_ilm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98

    create_net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

    create_operating_conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

    create_power_domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111

    create_power_group. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115

    create_power_net_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117

    create_power_rail_mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119

    create_power_switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

    create_qtm_constraint_arc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125

    create_qtm_delay_arc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

    create_qtm_drive_type. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

    create_qtm_generated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133

    create_qtm_load_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135

    create_qtm_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 137

    create_qtm_path_type . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

    create_qtm_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142

    create_scenario . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

    create_si_context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 146

    create_supply_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

    create_supply_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 151

    create_supply_set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 153

    create_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 156

    current_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 159

    current_instance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 161

    iv

  • current_power_rail . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

    current_scenario. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

    current_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 169

    define_design_mode_group. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 171

    define_qtm_attribute. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 173

    define_scaling_lib_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 175

    define_user_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 177

    derive_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 181

    disconnect_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 183

    drive_of. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 186

    estimate_clock_network_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 188

    estimate_eco . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 190

    extract_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 198

    filter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 203

    filter_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 204

    find . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 207

    find_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 209

    fix_eco_drc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 211

    fix_eco_timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218

    foreach_in_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 225

    get_alternative_lib_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 227

    get_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 230

    get_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 232

    get_clock_network_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 235

    get_clocks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 238

    get_correlations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240

    get_current_power_domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 242

    get_current_power_net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 243

    get_designs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 245

    get_distributed_variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

    get_generated_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 251

    get_ilm_objects. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253

    get_lib_cells . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255

    get_lib_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258

    get_lib_timing_arcs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 261

    get_libs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 264

    get_license . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 267

    get_nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 268

    v

  • get_noise_violation_sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 272

    get_object_name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 275

    get_path_groups. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 276

    get_pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 278

    get_ports. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 282

    get_power_domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 285

    get_power_group_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 287

    get_power_switches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 288

    get_qtm_ports. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 290

    get_random_numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 292

    get_selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 293

    get_si_bottleneck_nets. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 296

    get_supply_nets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 298

    get_supply_ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 300

    get_supply_sets . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 302

    get_switching_activity. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 304

    get_timing_arcs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 313

    get_timing_paths . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 316

    get_variation_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 325

    get_variations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 327

    group_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 329

    gui_create_category . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 333

    gui_create_category_rule . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 335

    gui_get_category . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 337

    gui_get_cell_block_marks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 339

    gui_list_category_rules. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 341

    gui_list_cell_block_marks. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 344

    gui_remove_category . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 345

    gui_remove_category_rules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 347

    gui_remove_cell_block_marks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 349

    gui_select_by_name. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 351

    gui_set_cell_block_marks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 353

    gui_start . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 355

    gui_stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 356

    gui_write_category_script. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 357

    gui_write_window_image . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 359

    identify_interface_logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 361

    index_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 366

    vi

  • insert_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 368

    license_users . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 378

    link . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 379

    link_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 380

    list_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 385

    list_designs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 388

    list_key_bindings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 390

    list_libs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 391

    list_licenses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 393

    load_of . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 394

    load_upf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 395

    map_design_mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 396

    max_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 398

    mem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 399

    merge_models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 400

    merge_saif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 403

    min_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 406

    parallel_execute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 407

    print_message_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 410

    Collections_and_Querying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 412

    query_cell_instances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 417

    query_cell_mapped . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 418

    query_net_ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 419

    query_objects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 420

    query_port_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 423

    Collections_and_Querying . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 424

    read_aocvm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 429

    read_db . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 431

    read_ddc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 433

    read_file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 435

    read_lib. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 437

    read_milkyway . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 439

    read_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 442

    read_saif. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 449

    read_sdc. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 452

    read_sdf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 458

    read_vcd. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 463

    read_verilog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 467

    vii

  • read_vhdl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 471

    redirect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 473

    remote_execute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 477

    remove_annotated_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 479

    remove_annotated_clock_network_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . 483

    remove_annotated_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 484

    remove_annotated_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 486

    remove_annotated_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 488

    remove_annotated_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 490

    remove_aocvm. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 492

    remove_buffer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 494

    remove_capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 497

    remove_case_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 499

    remove_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 501

    remove_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 504

    remove_clock_gating_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 506

    remove_clock_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 508

    remove_clock_latency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 510

    remove_clock_sense . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 512

    remove_clock_transition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 514

    remove_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 515

    remove_connection_class . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 518

    remove_context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 519

    remove_coupling_separation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 521

    remove_current_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 523

    remove_data_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 524

    remove_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 526

    remove_design_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 528

    remove_disable_clock_gating_check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 530

    remove_disable_timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 531

    remove_drive_resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 533

    remove_driving_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 535

    remove_fanout_load. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 537

    remove_from_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 538

    remove_generated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 540

    remove_host_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 541

    remove_ideal_latency. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 547

    remove_ideal_network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 549

    viii

  • remove_ideal_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 551

    remove_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 553

    remove_input_noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 555

    remove_lib . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 556

    remove_license . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 558

    remove_max_area . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 559

    remove_max_capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 560

    remove_max_fanout. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 561

    remove_max_time_borrow. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 562

    remove_max_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 563

    remove_min_capacitance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 565

    remove_min_pulse_width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 567

    remove_multi_scenario_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 569

    remove_net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 570

    remove_noise_immunity_curve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 572

    remove_noise_lib_pin. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 574

    remove_noise_margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 575

    remove_operating_conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 576

    remove_output_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 577

    remove_parasitic_corner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 579

    remove_path_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 580

    remove_port_fanout_number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 581

    remove_power_groups. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 582

    remove_propagated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 583

    remove_pulse_clock_max_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 584

    remove_pulse_clock_max_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 586

    remove_pulse_clock_min_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 587

    remove_pulse_clock_min_width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 588

    remove_qtm_attribute. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 589

    remove_rail_voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 591

    remove_resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 592

    remove_scenario . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 593

    remove_setup_hold_pessimism_reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . 594

    remove_si_aggressor_exclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 595

    remove_si_delay_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 597

    remove_si_delay_disable_statistical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 600

    remove_si_noise_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 601

    remove_si_noise_disable_statistical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 604

    ix

  • remove_steady_state_resistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 605

    remove_user_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 606

    remove_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 608

    remove_wire_load_min_block_size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 609

    remove_wire_load_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 610

    remove_wire_load_selection_group. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612

    rename_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 613

    rename_design. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 616

    rename_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 618

    report_activity_file_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 621

    report_activity_waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 627

    report_alternative_lib_cells. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 629

    report_analysis_coverage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 634

    report_annotated_check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 639

    report_annotated_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 642

    report_annotated_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 645

    report_annotated_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 650

    report_aocvm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 652

    report_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 657

    report_bottleneck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 659

    report_bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 664

    report_case_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 665

    report_cell. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 667

    report_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 671

    report_clock_gate_savings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 675

    report_clock_gating_check. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 682

    report_clock_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 684

    report_constraint. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 697

    report_context. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 708

    report_crpr . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 710

    report_delay_calculation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 717

    report_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 730

    report_design_mismatch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 732

    report_disable_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 734

    report_driver_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 737

    report_etm_arc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 740

    report_exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 744

    report_global_slack . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 749

    x

  • report_global_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 752

    report_hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 756

    report_host_usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 758

    report_ideal_network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 767

    report_lib. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 771

    report_lib_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 777

    report_min_pulse_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 779

    report_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 782

    report_multi_scenario_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 786

    report_name_mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 789

    report_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 791

    report_noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 794

    report_noise_calculation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 798

    report_noise_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 802

    report_noise_violation_sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 803

    report_path_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 806

    report_port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 808

    report_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 811

    report_power_analysis_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 827

    report_power_calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 828

    report_power_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 834

    report_power_domain. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 837

    report_power_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 840

    report_power_net_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 842

    report_power_network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 844

    report_power_pin_info . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 845

    report_power_rail_mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 848

    report_power_switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 850

    report_pulse_clock_max_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 851

    report_pulse_clock_max_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 854

    report_pulse_clock_min_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 856

    report_pulse_clock_min_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 858

    report_qtm_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 860

    report_reference. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 863

    report_scale_parasitics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 865

    report_scope_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 866

    report_si_aggressor_exclusion. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 869

    report_si_bottleneck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 872

    xi

  • report_si_delay_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 877

    report_si_double_switching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 883

    report_si_noise_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 885

    report_supply_net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 890

    report_supply_set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 892

    report_switching_activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 893

    report_timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 905

    report_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 927

    report_transitive_fanin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 931

    report_transitive_fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 933

    report_units. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 936

    report_variation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 938

    report_vcd_hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 947

    report_wire_load. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 949

    reset_aocvm_table_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 951

    reset_aocvm_table_set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 953

    reset_design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 954

    reset_mode. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 955

    reset_noise_parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 958

    reset_path. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 959

    reset_power_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 963

    reset_scale_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 965

    reset_switching_activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 966

    reset_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 970

    reset_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 973

    restore_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 975

    save_qtm_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 977

    save_session . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 979

    scale_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 981

    set_active_clocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 983

    set_annotated_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 985

    set_annotated_clock_network_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 989

    set_annotated_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 992

    set_annotated_power . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 996

    set_annotated_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 998

    set_aocvm_coefficient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1000

    set_aocvm_table_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1002

    set_aocvm_table_set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1004

    xii

  • set_case_analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1006

    set_clock_gating_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1008

    set_clock_groups . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1011

    set_clock_latency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1015

    set_clock_sense. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1019

    set_clock_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1021

    set_clock_uncertainty . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1023

    set_connection_class . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1027

    set_constraint_margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1029

    set_context_margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1030

    set_coupling_separation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1032

    set_cross_voltage_domain_analysis_guardband. . . . . . . . . . . . . . . . . . . . . . 1034

    set_current_power_domain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1036

    set_current_power_net. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1038

    set_data_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1041

    set_design_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1044

    set_design_top . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1046

    set_disable_clock_gating_check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1047

    set_disable_timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1049

    set_distributed_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1053

    set_distributed_variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1055

    set_domain_supply_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1057

    set_dont_touch. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1059

    set_dont_touch_network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1062

    set_drive . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1064

    set_drive_resistance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1067

    set_driving_cell. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1069

    set_equal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1073

    set_false_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1075

    set_fanout_load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1080

    set_host_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1081

    set_ideal_latency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1087

    set_ideal_network. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1089

    set_ideal_transition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1092

    set_input_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1094

    set_input_noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1099

    set_input_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1101

    set_isolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1103

    xiii

  • set_isolation_control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1107

    set_level_shifter_strategy. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1109

    set_level_shifter_threshold. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1110

    set_lib_rail_connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1112

    set_library_driver_waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1113

    set_load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1115

    set_max_area. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1119

    set_max_capacitance. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1120

    set_max_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1122

    set_max_fanout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1127

    set_max_time_borrow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1129

    set_max_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1131

    set_min_capacitance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1133

    set_min_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1135

    set_min_library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1140

    set_min_pulse_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1142

    set_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1145

    set_multi_scenario_license_limit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1148

    set_multicycle_path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1150

    set_noise_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1157

    set_noise_immunity_curve . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1159

    set_noise_lib_pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1162

    set_noise_margin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1163

    set_noise_parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1165

    set_operating_conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1167

    set_opposite . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1172

    set_output_delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1174

    set_parasitic_corner . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1179

    set_port_attributes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1181

    set_port_fanout_number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1183

    set_power_analysis_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1185

    set_power_clock_scaling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1190

    set_power_derate. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1192

    set_program_options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1196

    set_propagated_clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1199

    set_pulse_clock_max_transition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1201

    set_pulse_clock_max_width. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1203

    set_pulse_clock_min_transition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1205

    xiv

  • set_pulse_clock_min_width . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1207

    set_qtm_attribute . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1209

    set_qtm_global_parameter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1211

    set_qtm_port_drive. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1213

    set_qtm_port_load . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1216

    set_qtm_technology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1218

    set_rail_voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1220

    set_related_supply_net . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1223

    set_resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1225

    set_retention. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1227

    set_retention_control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1230

    set_rtl_to_gate_name. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1232

    set_scope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1234

    set_setup_hold_pessimism_reduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1236

    set_si_aggressor_exclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1238

    set_si_delay_analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1240

    set_si_delay_disable_statistical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1244

    set_si_noise_analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1245

    set_si_noise_disable_statistical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1248

    set_steady_state_resistance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1249

    set_supply_net_probability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1251

    set_switching_activity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1253

    set_temperature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1260

    set_timing_derate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1262

    set_units . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1269

    set_user_attribute. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1271

    set_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1273

    set_variation_correlation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1275

    set_variation_library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1277

    set_variation_quantile. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1279

    set_voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1282

    set_wire_load_min_block_size. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1284

    set_wire_load_mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1285

    set_wire_load_model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1286

    set_wire_load_selection_group . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1288

    sh_list_key_bindings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1290

    sim_analyze_clock_network. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1291

    sim_setup_library . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1293

    xv

  • sim_setup_simulator. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1295

    sim_setup_spice_deck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1297

    sim_validate_setup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1299

    size_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1302

    sizeof_collection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1307

    sort_collection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1309

    start_gui . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1311

    start_hosts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1312

    start_profile. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1318

    stop_gui . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1320

    stop_hosts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1321

    stop_profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1327

    sub_variation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1328

    swap_cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1329

    transform_exceptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1333

    unset_rtl_to_gate_name. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1338

    update_noise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1339

    update_power. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1341

    update_scope_data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1344

    update_timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1346

    upf_version . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1347

    variation_correlation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1349

    write_activity_waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1351

    write_arrival_annotations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1355

    write_binary_aocvm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1357

    write_changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1359

    write_context . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1363

    write_ilm_netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1367

    write_ilm_parasitics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1369

    write_ilm_script. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1371

    write_ilm_sdf. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1373

    write_interface_timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1375

    write_parasitics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1378

    write_physical_annotations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1380

    write_profile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1383

    write_saif . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1384

    write_script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1387

    write_sdc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1389

    xvi

  • write_sdf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1393

    write_sdf_constraints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1399

    write_spice_deck . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1403

    xvii

  • xviii

  • add_to_collection

    Adds objects to a collection, resulting in a new collection. The base collectionremains unchanged.

    SYNTAX

    collection add_to_collection[-unique]collection1object_spec

    Data Types

    collection1 collectionobject_spec list

    ARGUMENTS

    -uniqueIndicates that duplicate objects are to be removed from the resultingcollection. By default, duplicate objects are not removed.

    collection1Specifies the base collection to which objects are to be added. Thiscollection is copied to the result collection, and objects matchingobject_spec are added to the result collection. The collection1 option canbe the empty collection (empty string), subject to some constraints, asexplained in the DESCRIPTION section.

    object_specSpecifies a list of named objects or collections to add.If the base collection is heterogeneous, only collections can be added to it.If the base collection is homogeneous, the object class of each element inthis list must be the same as in the base collection. If it is not the sameclass, it is ignored. From heterogeneous collections in the object_spec, onlyobjects of the same class of the base collection are added. If the namematches an existing collection, the collection is used. Otherwise, theobjects are searched for in the database using the object class of the basecollection.The object_spec has some special rules when the base collection is empty, asexplained in the DESCRIPTION section.

    DESCRIPTION

    The add_to_collection command allows you to add elements to a collection. The resultis a new collection representing the objects in the object_spec added to the objectsin the base collection.

    Elements that exist in both the base collection and the object_spec, are duplicatedin the resulting collection. Duplicates are not removed unless you use the -uniqueoption. If the object_spec is empty, the result is a copy of the base collection.

    1add_to_collection

  • If the base collection is homogeneous, the command searches in the database for anyelements of the object_spec that are not collections, using the object class of thebase collection. If the base collection is heterogeneous, all implicit elements ofthe object_spec are ignored.

    When the collection1 argument is the empty collection, some special rules apply tothe object_spec. If the object_spec is non-empty, there must be at least onehomogeneous collection somewhere in the object_spec list (its position in the listdoes not matter). The first homogeneous collection in the object_spec list becomesthe base collection and sets the object class for the function. The examples showthe different errors and warnings that can be generated.

    The append_to_collection command has similar semantics as the add_to_collectioncommand; however, the append_to_collection command can be much more efficient insome cases. For more information about the command, see the man page.

    For background on collections and querying of objects, see the collections man page.

    EXAMPLES

    The following example from PrimeTime uses the get_ports command to get all of theports beginning with ’mode’ and then adds the "CLOCK" port.

    pt_shell> set xports [get_ports mode*]{"mode[0]", "mode[1]", "mode[2]"}pt_shell> add_to_collection $xports [get_ports CLOCK]{"mode[0]", "mode[1]", "mode[2]", "CLOCK"}

    The following example from PrimeTime adds the cell u1 to a collection containing theSCANOUT port.

    pt_shell> set so [get_ports SCANOUT]{"SCANOUT"}pt_shell> set u1 [get_cells u1]{"u1"}pt_shell> set het [add_to_collection $so $u1]{"u1"}pt_shell> query_objects -verbose $het{"port:SCANOUT", "cell:u1"}

    The following examples show how the add_to_collection command behaves when the basecollection is empty. Adding two empty collections yields the empty collection.Adding an implicit list of only strings or heterogeneous collections to the emptycollection generates an error message, because no homogeneous collections arepresent in the object_spec list. Finally, as long as one homogeneous collection ispresent in the object_spec list, the command succeeds, even though a warning messageis generated. The example uses the variable settings from the previous example.

    2add_to_collection

  • pt_shell> sizeof_collection [add_to_collection "" ""]0

    pt_shell> set A [add_to_collection "" [list a $het c]]Error: At least one homogeneous collection required for argument ’object_spec’

    to add_to_collection when the ’collection’ argument is empty (SEL-014)

    pt_shell> add_to_collection "" [list a $het $sp]]Warning: Ignored all implicit elements in argument ’object_spec’

    to add_to_collection because the class of the base collectioncould not be determined (SEL-015)

    {"SCANOUT", "u1", "SCANOUT"}

    SEE ALSO

    append_to_collection(2)collections(2)query_objects(2)remove_from_collection(2)sizeof_collection(2)

    3add_to_collection

  • 4add_variation

    add_variation

    Sums two or more variations. Returns a collection that corresponds to this sumvariation.

    SYNTAX

    collection add_variationvariation_list

    Data Types

    variation_list collection

    ARGUMENTS

    variation_listLists the variations to be added up.

    DESCRIPTION

    Enables the addition of two or more variations. The sum is a variation. This commandcreates this sum variation and returns it as a collection.

    EXAMPLES

    The following example adds two variations, $v1 and $v2, (assuming they have alreadybeen created) into collection $vsum, which is a new variation collection.

    pt_shell> set col [add_to_collection $v1 $v2]_sel128

    pt_shell> set vsum [add_variation $col]_sel129

    SEE ALSO

    create_distribution(2)sub_variation(2)max_variation(2)min_variation(2)

  • 5all_clocks

    all_clocks

    Creates a collection of all clocks in the current design. You can assign theseclocks to a variable or pass them into another command.

    SYNTAX

    collection all_clocks

    ARGUMENTS

    None.

    DESCRIPTION

    The all_clocks command creates a collection of all clocks in the current design. Ifyou do not define any clocks, the empty collection (empty string) is returned.

    If you want only certain clocks, use get_clocks to create a collection of clocksmatching a specific pattern and optionally pass in filter criteria.

    EXAMPLES

    The following example applies the set_propagated_clock command to all clocks in thedesign.

    pt_shell> set_propagated_clock [all_clocks]

    SEE ALSO

    collections(2)create_clock(2)derive_clocks(2)get_clocks(2)set_propagated_clock(2)

  • all_connected

    Creates a collection of objects connected to a net, pin, or port object. You canassign this collection to a variable or pass it into another command.

    SYNTAX

    collection all_connected[-leaf]object_spec

    Data Types

    object_spec list

    ARGUMENTS

    -leafSpecifies that the connections of the net that are being returned should beglobal or leaf pins. When specified, this gives the leaf pins of ahierarchical net. For non-hierarchical nets, there is no difference inoutput.

    object_specSpecifies the object whose connections are returned. This is a collection ofone element which is a net, pin, or port collection, or the name of a net,pin, or port.

    DESCRIPTION

    The all_connected command creates a collection of objects connected to a specifiednet, pin, or port. The object_spec option is either a collection of exactly one net,pin, or port object, or a name of an object. If it is a name, PrimeTime searches fora net, pin, or port, in that order. If the object_spec refers to a net, you can usethe -leaf option to get the global leaf pins of the net.

    The command returns a collection. The collection can contain nets, ports, pins, or acombination of ports and pins. In the latter case, the ports are first and they arefollowed by the pins.

    When issued from the command prompt, the all_connected command behaves as though thequery_objects command has been called to display the objects in the collection. Bydefault, a maximum of 100 objects is displayed; you can change this maximum usingthe collection_result_display_limit variable.

    For information about collections and the querying of objects, see the collectionsman page.

    EXAMPLES

    The following example shows all objects connected to net "CLOCK":

    6all_connected

  • pt_shell> query_objects -verbose [all_connected [get_nets CLOCK]]{"port:CLOCK", "pin:U1/CP", "pin:U2/CP", "pin:U3/CP", "pin:U4/CP"}

    SEE ALSO

    collections(2)get_nets(2)get_pins(2)query_objects(2)collection_result_display_limit(3)

    7all_connected

  • 8all_correlations

    all_correlations

    Creates a collection of all correlations in the current design. You can assign thesecorrelations to a variable or pass them into another command.

    SYNTAX

    collection all_correlations

    ARGUMENTS

    None.

    DESCRIPTION

    The all_correlations command creates a collection of all correlations in the currentdesign. If you do not define any correlations, the empty collection (empty string)is returned.

    If you want only specific correlations, use the get_correlations command to create acollection of correlations matching a specific pattern and optionally pass in filtercriteria.

    EXAMPLES

    The following example creates a list of all correlations and assigns the list tocorr_list.

    pt_shell> set corr_list [all_correlations]

    SEE ALSO

    collections(2)create_correlation(2)get_correlations(2)

  • all_fanin

    Creates a collection of pins/ports or cells in the fanin of specified sinks.

    SYNTAX

    collection all_fanin-to sink_list[-flat] [-only_cells][-startpoints_only][-levels level_count][-pin_levels pin_count][-trace_arcs arc_types][-step_into_hierarchy]

    Data Types

    sink_list listlevel_count int

    ARGUMENTS

    -to sink_listSpecifies a list of sink pins, ports, or nets in the design. Each object isa named pin, port, or net, or a collection of pins, ports, or nets. The timingfanin of each sink in sink_list becomes part of the resulting collection. Ifa net is specified, the effect is the same as listing all driver pins on thenet. This argument is required.

    -startpoints_onlyWhen this option is specified, only the timing start points are included inthe result.

    -only_cellsThe result includes only cells in the timing fanin of the sink_list and notpins or ports.

    -flatThere are two major modes in which all_fanin functions: hierarchical (thedefault) and flat. When in hierarchical mode, only objects within the samehierarchical level as the current sink are included in the result. In flatmode, the only non-leaf objects in the result are hierarchical sink pins.

    -levels cell_countThe traversal stops when reaching a depth of search of cell_count hops, wherethe counting is performed over the layers of cells of same distance from thesink.

    -pin_levels pin_countThe traversal stops when reaching a depth of search of pin_count hops, wherethe counting is performed over the layers of pins of same distance from thesink.

    9all_fanin

  • -trace_arcs arc_typesSpecifies the type of combinational arcs to trace during the traversal.Allowed values are timing (the default), which permits tracing only of validtiming arcs (that is, arcs which are neither disabled nor invalid due to caseanalysis); enabled, which permits the tracing of all enabled arcs anddisregards case analysis values; and all, which permits the tracing of allcombinational arcs regardless of either case analysis or arc disabling. (Notethat the enabled option corresponds to the default behavior of this commandin releases of PrimeTime prior to 2006.12.)

    -step_into_hierarchyThis option may only be used in hierarchical mode and only has effect witheither -levels or -pin_levels. Without the switch, a hierarchical block atthe same level of hierarchy as the current sink is considered to be a cell;the input pins are considered a single level away from the related outputpins, regardless of what is inside the block. With the switch enabled, thecounting is performed as though the design were flat, and although pins insidethe hierarchy are not returned, they determine the depth of the related outputpins.

    DESCRIPTION

    The all_fanin command creates a collection of objects in the timing fanin ofspecified sink pins/ports or nets in the design. A pin is considered to be in thetiming fanin of a sink if there is a timing path through combinational logic fromthe pin to that sink (please also see the -trace_arcs option). The fanin stops atthe clock pins of registers (sequential cells).

    If a current instance in the design is not the top level of hierarchy, only objectswithin the current instance are returned.

    EXAMPLES

    This example shows the timing fanin of a port in the design. The fanin includes aregister, reg1.

    pt_shell> query_objects [all_fanin -to out_1]{"out_1", "reg1/Q", "reg1/CP"}

    This example shows the flat mode of all_fanin. The sink is an input pin of ahierarchical cell, H1, which is connected to an output pin of another hierarchicalcell, H2. H2 contains additional hierarchy and eventually, a leaf cell with 2inputs, each of which has a top level register in its fanin.

    pt_shell> query_objects [all_fanin -to H1/a -flat]{"H1/a", "H2/U1/n1/Z", "H2/U1/n1/A", "H2/U1/n1/B","reg1/Q", "reg2/Q", "reg1/CP", "reg2/CP"}

    SEE ALSO

    all_fanout(2)report_transitive_fanin(2)current_instance(2)

    10all_fanin

  • all_fanout

    Creates a collection of pins/ports or cells in the fanout of the specified sources.

    SYNTAX

    collection all_fanout-from source_list-clock_tree [-flat][-only_cells] [-endpoints_only][-levels level_count][-pin_levels pin_count][-trace_arcs arc_types][-step_into_hierarchy]

    Data Types

    source_list list level_count int

    ARGUMENTS

    -from source_listSpecifies a list of source pins, ports, or nets in the design. Each objectis a named pin, port, or net, or a collection of pins, ports, or nets. Thetiming fanout of each source in source_list becomes part of the resultingcollection. If a net is specified, the effect is the same as listing all loadpins on the net. This option is exclusive with the -clock_tree option.

    -clock_treeIndicates that all clock source pins and/or ports in the design are to beused as the list of sources. Clock sources are specified using create_clock.If there are no clocks, or if the clocks have no sources, the result is theempty collection. This option is exclusive with the -from option.

    -endpoints_onlyWhen this option is specified, only the timing endpoints are included in theresult.

    -only_cellsThe result includes only cells in the timing fanout of the source_list andnot pins or ports.

    -flatThere are two major modes in which the all_fanout command functions:hierarchical (default) and flat. When in hierarchical mode, only objectswithin the same hierarchical level as the current source are included in theresult. In flat mode, the only non-leaf objects in the result are hierarchicalsource pins.

    -levels cell_countThe traversal stops when reaching a depth of search of cell_count hops, wherethe counting is performed over the layers of cells of same distance from the

    11all_fanout

  • source.

    -pin_levels pin_countThe traversal stops when reaching a depth of search of pin_count hops, wherethe counting is performed over the layers of pins of same distance from thesource.

    -trace_arcs arc_typesSpecifies the type of combinational arcs to trace during the traversal.Allowed values are timing (default), enabled, and all. The timing valuepermits tracing only of valid timing arcs (that is, arcs which are neitherdisabled nor invalid due to case analysis). The enabled value permits thetracing of all enabled arcs and disregards case analysis values. The all valuepermits the tracing of all combinational arcs regardless of either caseanalysis or arc disabling. (Note that the enabled option corresponds to thedefault behavior of this command in releases of PrimeTime prior to versionZ-2006.12.)

    -step_into_hierarchyThis option may only be used in hierarchical mode and only has effect witheither the -levels or -pin_levels option. Without the switch, a hierarchicalblock at the same level of hierarchy as the current sink is considered to bea cell; the output pins are considered a single level away from the relatedinput pins, regardless of what is inside the block. With the switch enabled,the counting is performed as though the design were flat, and although pinsinside the hierarchy are not returned, they determine the depth of the relatedinput pins.

    DESCRIPTION

    The all_fanout command creates a collection of objects in the timing fanout ofspecified source pins/ports or nets in the design. A pin is considered to be in thetiming fanout of a source if there is a timing path through combinational logic fromthat source to the pin (please also see the -trace_arcs option). The fanout stops atthe inputs to registers (sequential cells). The sources are specified using either -clock_tree or -from source_list.

    If a current instance in the design is not the top level of the hierarchy, onlyobjects within the current instance are returned.

    EXAMPLES

    This example shows the timing fanout of a port in the design. The fanout includes aregister, reg3.

    pt_shell> query_objects [all_fanout -from in1]{"in1", "reg3/D"}

    This example shows the difference between the hierarchical and flat modes ofall_fanout. The source is an output pin of a hierarchical cell, H3/z1, which isconnected to an input pin of another hierarchical cell, H4/a. H4 contains a leafcell U1 with input A and output Z. The first command is hierarchical mode, and showsthat hierarchical pins are included in the result. The second command is in leaf

    12all_fanout

  • mode, and leaf pins from the lower level are included.

    pt_shell> query_objects [all_fanout -from H3/z1]{"H3/z1", "H4/a", "H4/z", "reg2/D"}

    pt_shell> query_objects [all_fanout -from H3/z1 -flat]{"H3/z1", "H4/U1/A", "H4/U1/Z", "reg2/D"}

    SEE ALSO

    all_fanin(2)report_transitive_fanin(2)current_instance(2)

    13all_fanout

  • all_inputs

    Creates a collection of all input ports in the current design. You can assign theseports to a variable or pass them into another command.

    SYNTAX

    collection all_inputs[-level_sensitive][-exclude_clock_ports][-edge_triggered][-clock clock_name]

    Data Types

    clock_name list

    ARGUMENTS

    -level_sensitiveOnly considers ports with level-sensitive input delay. This is specified bythe set_input_delay 2 -clock CLK -level_sensitive IN1 command.

    -edge_triggeredOnly considers ports with edge-triggered input delay. This is specified byset_input_delay 2 -clock CLK IN2.

    -exclude_clock_portsExcludes input ports which serve as clock sources.

    -clock clock_nameOnly considers ports with input delay relative to a specific clock. This canbe the name of a clock, or a collection containing a clock.

    DESCRIPTION

    The all_inputs command creates a collection of all input or inout ports in thecurrent design. You can limit the contents of the collection by specifying the typeof input delay that must be on a port.

    You can remove clock source ports from the resulting collection by specifying -exclude_clock_ports option.

    If you want only certain ports, use the get_ports command to create a collection ofports matching a specific pattern and optionally passing filter criteria.

    When issued from the command prompt, the all_inputs command behaves as though thequery_objects command had been called to display the objects in the collection. Bydefault, a maximum of 100 objects is displayed; you can change this maximum usingthe collection_result_display_limit variable.

    For information about collections and the querying of objects, see the collections

    14all_inputs

  • man page.

    EXAMPLES

    The following example specifies a driving cell for all input ports.

    pt_shell> set_driving_cell -lib_cell FFD3 -pin Q [all_inputs]

    SEE ALSO

    collections(2)get_ports(2)report_port(2)query_objects(2)set_driving_cell(2)set_input_delay(2)collection_result_display_limit(3)

    15all_inputs

  • all_instances

    Creates a collection of all instances of a specific design or library cell in thecurrent design, relative to the current instance. You can assign the resultingcollection of cells to a variable or pass it into another command.

    SYNTAX

    collection all_instances[-hierarchy]object_spec

    ARGUMENTS

    -hierarchySearches for instances in all levels of instance hierarchy below the currentinstance. By default, only instances from the current level of hierarchy areconsidered.

    object_specSpecifies the target design or library cell. This can be a design collection,lib_cell collection, or name.

    DESCRIPTION

    The all_instances command creates a collection of cells that are instances of adesign or library cell. The search for instances is made relative to the currentinstance within the current design. By default, all_instances considers onlyinstances at the current level of the hierarchy. If you use the -hierarchy option,the search continues throughout the hierarchy.

    The object_spec can be a simple name. In this case, any instance of a design orlib_cell with that name will match. Alternatively, the object_spec can be acollection of exactly one design or one library cell. Any other collection resultsin an error message. Using the collection can help focus the search for instances ofspecific designs or lib_cells, especially after swap_cell has been used.

    When issued from the command prompt, all_instances behaves as though query_objectshas been called to display the objects in the collection. By default, a maximum of100 objects is displayed. You can change this maximum using thecollection_result_display_limit variable.

    For information about collections and the querying of objects, see the collectionsman page.

    EXAMPLES

    The following example uses all_instances to get the instances of the design ’low’ inthe current level of hierarchy.

    pt_shell> all_instances low{"U1", "U3"}

    16all_instances

  • The following example uses -hie