Predictions of CMOS Compatible On–Chip Optical Interconnect

download Predictions of CMOS Compatible On–Chip Optical Interconnect

of 44

Transcript of Predictions of CMOS Compatible On–Chip Optical Interconnect

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    1/44

    Predictions of CMOS Compatible

    OnChip Optical Interconnect

    Guoqing Chen, Hui Chen, Mikhail Haurylau,

    Nicholas Nelson, Philippe M. Fauchet,

    and Eby G. Friedman

    Department of Electrical and Computer Engineering

    University of Rochester

    David H. Albonesi

    Computer Systems Laboratory

    Cornell University

    April 2, 2005 SLIP ConferenceNSF CCR-0304574

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    2/44

    Agenda

    Introduction

    On-chip CMOS optical data paths

    Optical interconnect vs. electrical interconnect

    Conclusions

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    3/44

    Agenda

    Introduction

    On-chip CMOS optical data paths

    Optical interconnect vs. electrical interconnect

    Conclusions

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    4/44

    Interconnect Centric Design

    Multiple design criteria should be considered

    in the interconnect design process

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    5/44

    Optical Interconnect

    ?

    Cost-effective

    CMOS-compatible

    High speed

    Compact

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    6/44

    On-Chip Optical Interconnect

    When and in what situation is optical interconnectbetter than electrical interconnect?

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    7/44

    Agenda

    Introduction

    On-chip CMOS optical data path

    Optical interconnect vs. electrical interconnect Conclusions

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    8/44

    OnChip Optical Interconnect Data Path

    LaserOptical

    Modulator WaveguidePhoto

    Detector

    Driver

    Electrical

    Logic Cell

    Amplifier

    Electrical

    Logic Cell

    On-Chip

    Transmitter Receiver

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    9/44

    Modulators Choice of Structures

    MOS capacitorp-i-n diode

    Electrical Structure

    Op

    ticalStruct

    ure

    Mach-Zehnder

    Microresona

    tor

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    10/44

    Modulators Choice of Structures

    MOS capacitorp-i-n diode

    Electrical Structure

    Op

    ticalStruct

    ure

    Mach-Zehnder

    Microresona

    tor

    P-i-n Diode : Microresonator

    Size (20 m)

    Power consumption (mWs)

    CMOS compatible Speed (injection-based)

    C. A. Barrios, V. R. Almeida, and M. Lipson, Low Power-Consumption Short-Length and

    High-Modulation-Depth Silicon Electrooptic Modulator, J. of Lightwave Tech., Vol. 21,No. 4, pp. 1089-1098, April 2003.

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    11/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    12/44

    Modulators Choice of Structures

    MOS capacitorp-i-n diode

    Electrical Structure

    Op

    ticalStruct

    ure

    Mach-Zehnder

    Microresona

    tor

    Proposed Modulator Model

    Size (20 m)

    Power consumption (mWs)

    CMOS compatible

    Speed (injection-based)

    C. A. Barrios, V. R. Almeida, and M. Lipson, Low Power-Consumption Short-Length and High-Modulation-Depth Silicon Electrooptic Modulator, J. of

    Lightwave Tech., Vol. 21, No. 4, pp. 1089-1098, April 2003.

    Speed (1 GHz and up)

    CMOS compatible

    Size (10 mm)

    Power consumption (Watts)

    * A. Liu et al, A High-Speed Silicon Optical Modulator Based on Metal-Oxide-Semiconductor Capacitor, Nature, Vol. 427, pp. 615-618, February 2004. High speed

    CMOS compatible

    Compact size Low power

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    13/44

    Projection of Modulator Size

    Size

    Year

    A. Liu et al, A High-Speed Silicon Optical Modulator Based on Metal-Oxide-SemiconductorCapacitor, Nature, Vol. 427, pp. 615-618, February 2004.

    10 mm

    50 m

    2004 2016

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    14/44

    Model of Modulator Driver

    Optical modulator is modeled as a lumpedcapacitor

    A series of tapered buffers used to drive CM

    The size of the buffers is optimized forminimum delay

    Electrical

    Logic Cell CM

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    15/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    16/44

    Waveguide

    Si waveguide Consumes Si resources

    High refractive index ~ 3.5 Narrower waveguide

    Slower light speed

    Buried Oxide

    Silicon substrate

    Silicon

    Light

    Buried Oxide

    Silicon substrate

    PolymerLight

    Polymer waveguide Additional layer

    Low refractive index ~ 1.4 Wider waveguide

    Faster light speed

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    17/44

    OnChip Optical Interconnect Data Path

    LaserOptical

    Modulator WaveguidePhoto

    Detector

    Driver

    Electrical

    Logic Cell

    Amplifier

    Electrical

    Logic Cell

    On-Chip

    Transmitter Receiver

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    18/44

    Model of Optical Receiver

    TIA

    V bias

    I bias

    Photodiode

    Light

    Cdet

    Receiver CircuitsPhotodetector

    TIA

    V bias

    I bias

    Photodiode

    Light

    Cdet

    Receiver CircuitsPhotodetector

    Optical receiver is composed of a

    photodector and an amplifier circuit

    Amplifier circuit

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    19/44

    Photodetector Material: Ge or SiGe

    Longer wavelength absorption window

    Compatible with CMOS Structure: Metal-semiconductor-metal (MSM)

    High speed

    Easy to fabricate

    Reasonable quantum efficiency

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    20/44

    Photodetector Optimization

    Response time

    Transit time

    RCresponse time

    Expected size In ten years

    5 m x 5 m

    Limited by theoptical mode size

    22

    RCtrrT +=

    [1]

    [1]

    [2][3]

    [1] S.V.Averine et al, Solid-State Electronics, Vol. 45, No.3, pp. 441-446, March 2001.[2] S.Y.Chou et al, Applied Physics letter, Vol. 61, No. 15, pp. 1760-1762, October, 1992.[3] D. Buca et al, Journal of Applied Physics, Vol. 92, No. 12, pp. 7599-7605, December 2002.

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    21/44

    Amplifier is designed to satisfy noise and

    bandwidth requirements * BER: 10-15

    3 dB bandwidth: 0.7 x bit rate (NRZ)

    TIATIA

    Amplifier Circuit

    P. Kapur, Scaling Induced Performance Challenges/Limitations of On-Chip Metal Interconnects and Comparisons withOptical Interconnects, Ph. D. Thesis, Stanford University, Stanford, California, 2002

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    22/44

    Agenda

    Introduction

    On-chip CMOS optical data path

    Optical interconnect vs. electrical interconnect Conclusions

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    23/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    24/44

    Minimum Delay of Electrical Interconnect

    Scaling has a small effect on the delay of global

    interconnect with repeaters The minimum achievable delay is constant for advanced

    technology nodes: 20 ps/mm

    L = 0.5 pH/m

    Line spacing = Wmin

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    25/44

    Delay of Optical Interconnect

    Electrical interconnect delay: 20 ps/mm

    Unit: ps, Length = 10 mm

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    26/44

    Power Consumption in Optical Interconnect

    Assumptions

    Input optical power ~ 0.2 mW

    Power consumption in the optical interconnect isindependent of interconnect length

    Optical power loss in waveguide is ignored

    Unit: mW

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    27/44

    Power-Delay Product (PDP)

    Length = 10 mm

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    28/44

    Bandwidth Density

    Bandwidth density

    The ability to transmit data through a unit width

    The maximum bit rate is the clock rate

    Wavelength

    division

    multiplexing

    (WDM) Four additional

    channels for

    each newtechnology

    node

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    29/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    30/44

    Agenda

    Introduction

    CMOS compatible on-chip optical data path

    Performance prediction of optical interconnectcompared with copper interconnect

    Conclusions

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    31/44

    Conclusions Predictions of CMOS compatible on-chip

    optical interconnect are presented

    Device models are described

    Delay, power, and bandwidth are analyzed

    Modulator is the key component in an on-chipelectro-optical system

    WDM is necessary for improving the

    bandwidth density of the optical interconnect

    The critical length is about 1/3 of the chip edge

    length at the 45 nm technology node

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    32/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    33/44

    Backup

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    34/44

    Electrical Structures

    P-i-n diode Uniform injection over

    large areas, high neff Slow (20 ps and higher)

    neff= n *

    MOS capacitor Carrier concentration

    varies in small area.

    Very fast

    * A. Liu et al, A High-Speed Silicon Optical Modulator Based on Metal-Oxide-SemiconductorCapacitor, Nature, Vol. 427, pp. 615-618, February, 2004.

    *

    C. A. Barrios, V. R. Almeida, and M. Lipson, Low Power-Consumption Short-Length and High-Modulation-Depth Silicon Electrooptic Modulator, J. of Lightwave Tech., Vol. 21, No. 4, pp. 1089-1098, April, 2003.

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    35/44

    Optical Structures

    Mach-Zehnder interferometer

    Destructive interference condition: =

    L = /(4*neff)

    * A. Liu et al, A High-Speed Silicon Optical Modulator Based on Metal-Oxide-SemiconductorCapacitor, Nature, Vol. 427, pp. 615-618, February, 2004.

    C. A. Barrios, V. R. Almeida, and M. Lipson, Low Power-Consumption Short-Length and High-Modulation-Depth Silicon Electrooptic Modulator, J. of Lightwave Tech., Vol. 21, No. 4, pp. 1089-1098, April, 2003.

    *

    Micro-resonator

    Wavelength selective

    Compact

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    36/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    37/44

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    38/44

    Delay and power of an optical receiver

    For MSM interdigitated photodetector,response time is

    Tr= (tr2 + RC

    2)1/2

    Transit time tr= t/2V, V: carrier drift velocity

    : drift distance corrective coefficient

    RC response time RC=2.2RC

    Delay is TD=0.315Tr

    Delay is TD=0.693 / (2 f)

    Power is

    Prec = (WTIA/Wmin)Idsat0Vdd +

    (IbiasVdd + IphVbias)/2+ NinvIdsat0VddTIA

    Receiver Circuits

    TIA

    Receiver Circuits

    Receiver circuits

    Photodetector

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    39/44

    Electro-optical effects in silicon

    Electroabsorption Electrorefraction

    R. A. Soref, Silicon-based optoelectronics, Proc. IEEE, vol. 81, pp. 16871706, Dec. 1993

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    40/44

    Optical modulator Mach-Zehnder

    interferometer structure

    n0

    n0

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    41/44

    Optical modulator Mach-Zehnder

    interferometer structure

    n0

    n0+n

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    42/44

    Propagation delay both structures

    1 .106

    1 .105

    1 .104

    1 .103

    0.01

    .1013

    .1012

    .1011

    .1010

    .109

    .108

    Birefringence

    PropagationDelay

    1 .106

    1 .105

    1 .104

    1 .103

    0.01

    .1013

    .1012

    .1011

    .1010

    .109

    .108

    Birefringence

    PropagationDelay

    Blue line: M-Z

    interferometer

    Red line: Micro-

    resonator

    Tpi = L/c*nsi

    n

    PropagationDelay,s

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    43/44

    Microcavity-based modulator (Barrios et.al)

    Size (20 m) Power consumption (mWs)

    CMOS compatible

    Speed (injection-based)

  • 8/14/2019 Predictions of CMOS Compatible OnChip Optical Interconnect

    44/44