pg_curri_syllabi_ VLSI

33
M.TECH. VLSI DESIGN SEMESTER I Sl No Subjec t Code Subject L T P C Theory 1 PMAA04 Mathematical Foundations of Electronics Engineering 3 1 0 4 2 PVLA01 VLSI Basic & Concepts 3 1 0 4 3 PEEA01 Advanced Digital System Design 3 1 0 4 4 PVLA02 HDL Modeling and Implementation 3 1 0 4 5 *** Elective – I 3 0 0 3 Practical 6 PVLA03 HDL Programming Laboratory- I 0 0 3 2 Total Credits 21 SEMESTER II Sl No Subjec t Code Subject L T P C Theory 1 PESA05 Embedded System Design 3 1 0 4 2 PVLA04 Testing & Verification of VLSI Circuits 3 1 0 4 3 PVLA05 Design of analog & mixed mode VLSI Circuits 3 1 0 4 4 PVLA06 ASIC Design 3 1 0 4 5 *** Elective – II 3 0 0 3 6 *** Elective – III 3 0 0 3 Practical 7 PVLA07 HDL Programming Laboratory- II 0 0 3 2 Total Credits 24

Transcript of pg_curri_syllabi_ VLSI

Page 1: pg_curri_syllabi_ VLSI

M.TECH. VLSI DESIGN

SEMESTER ISlNo

Subject Code

Subject L T P C

Theory1 PMAA04 Mathematical Foundations of

Electronics Engineering3 1 0 4

2 PVLA01 VLSI Basic & Concepts 3 1 0 43 PEEA01 Advanced Digital System Design 3 1 0 44 PVLA02 HDL Modeling and

Implementation3 1 0 4

5 *** Elective – I 3 0 0 3

Practical6 PVLA03 HDL Programming Laboratory-I 0 0 3 2

Total Credits 21

SEMESTER II

SlNo

Subject Code

Subject L T P C

Theory1 PESA0

5Embedded System Design 3 1 0 4

2 PVLA04 Testing & Verification of VLSI Circuits

3 1 0 4

3 PVLA05 Design of analog & mixed mode VLSI Circuits

3 1 0 4

4 PVLA06 ASIC Design 3 1 0 45 *** Elective – II 3 0 0 36 *** Elective – III 3 0 0 3

Practical7 PVLA07 HDL Programming Laboratory-II 0 0 3 2

Total Credits 24

SEMESTER III

Sl Subjec Subject L T P C

Page 2: pg_curri_syllabi_ VLSI

No t CodeTheory

1 *** Elective – IV 3 0 0 32 *** Elective – V 3 0 0 33 *** Elective – VI 3 0 0 3

Practical4 PVLA26 Project Phase I 0 0 12 6

Total Credits 15

SEMESTER IV

SlNo

Subject Code

Subject L T P C

Practical1 PVLA08 Project Phase II 0 0 24 12

Total Credits 12

Over all Total Credits = 72

LIST OF ELECTIVES

SlNo

Subject Code

Subject L T P C

1 PVLA09 VLSI Design Techniques 3 1 0 4

2 PVLA10 Low Power VLSI Design 3 0 0 3

3 PVLA11 Nano Electronics 3 0 0 3

4 PVLA12 Physical Design of VLSI Circuits

3 0 0 3

Page 3: pg_curri_syllabi_ VLSI

5 PESA04 Advanced Embedded System 3 1 0 4

6 PVLA13 DSP Processor Architecture and Programming

3 0 0 3

7 PVLA14 Semi Conductor Physics & Processing

3 0 0 3

8 PVLA15 Genetic Algorithms and Their Application

3 0 0 3

9 PVLA16 FPGA Based System Design 3 0 0 3

10 PVLA17 Electromagnetic Interference and Compatibility in System Design

3 0 0 3

11 PVLA18 VLSI Technology 3 0 0 3

12 PVLA19 Speech and Audio Signal Processing

3 0 0 3

13 PVLA20 Fuzzy Logic & Neural Networks

3 0 0 3

14 PVLA21 Computer Aided Design for VLSI

3 0 0 3

15 PVLA22 VLSI System Design 3 0 0 3

SEMESTER I

PMAA04 MATHEMATICAL FOUNDATIONS OF ELECTRONICS ENGINEERING L T P C

3 1 0 4

UNIT-I:Fuzzy Logic 9Basic concepts of fuzzy logic – fuzzy sets – operations of fuzzy sets – properties of fuzzy sets – fuzzy relations – composition of fuzzy relations – fuzzy propositions – fuzzy quantifiers

UNIT-II: Dynamic Programming 9Bellman’s principle of optimality – solution of problem of with finite number of stages – backward recursion – applications of dynamic programming – characteristics of dynamic programming

UNIT-III: Matrix Theory 9

Page 4: pg_curri_syllabi_ VLSI

Eigenvalues and eigenvectors of matrices – diagonalization of matrices – defective matrices - generalized eigenvectors – Jordan canonical form of matrices - pseudo-inverse of a matrix – least-square solutions of overdetermined linear systems – the method of normal equations

UNIT-IV: One Dimensional Random Variables 9Random variables – probability distribution – moments – moment generating functions and their properties – binomial, Poisson, geometric, uniform, exponential, gamma and normal distributions – function of a random variable

UNIT-V: Queueing Models 9 Basics of queueing models –Poisson queue systems – transient state probability – steady state probabilities – single and multi-server models with finite and infinite capacity – Little’s formula - (M-G-1) queueing model – Pollaczek-Khinchine formula

TOTAL : 45+15(Tutorial) = 60 periodsREFERENCES:

1. G.J. Klir and B. Yuan, “Fuzzy Sets and Fuzzy Logic: Theory and Applications”, PHI Learning Private Limited, New Delhi, 1997.

2. H. A. Taha, “Operations Research: An Introduction”, seventh edition, Pearson Education, New Delhi, 2002.

3. G.H. Golub and C.H. Van Loan, “Matrix Computations”, third edition, Johns Hopkins University Press, London, 1996.

4. V. Sundarapandian, “Probability, Statistics and Queuing Theory”, PHI Learning, New Delhi, 2009.

PVLA01 VLSI BASICS & CONCEPTS L T P C 3 1 0 4

UNIT –  I 9Introduction to CMOS circuits: MOS transistors, MOS switches, CMOS logic: Inverter, combinational logic, NAND, NOR gates, compound gates, Multiplexers. Memory: Latches and registers. Circuit and system representations: Behavioral, structural and physical representations.UNIT – II 9MOS transistor theory: NMOS, PMOS enhancement mode transistors,  Threshold voltage, body effect, MOS device design equations, MOS models, small signal AC characteristics, CMOS inverter DC characteristics, static load MOS inverters, Bipolar devices - advanced MOS modeling. UNIT – III 9LOW – VOLTAGE LOW  POWER VLSI CMOS CIRCUIT DESIGN  CMOS invertor – Characteristics – Power dissipation. CMOS static logic design, Logic styles.UNIT – IV 9Circuit characterization and performance estimation: Estimation of resistance, capacitance, inductance. Switching characteristics, CMOS gate transistor sizing, sizing routing conductors, charge sharing, Design margining yield, reliability. Scaling of MOS transistor  dimensions. UNIT – V 9CMOS circuit and logic design: CMOS logic gate design,   physical design of simple logic gates.. Clocking strategies, I/O Structures.

TOTAL : 45+15(Tutorial) = 60 periods

REFERENCES:

Page 5: pg_curri_syllabi_ VLSI

1. Weste, Eshraghian,  “Principles of CMOS VLSI design”, 2nd Edition Addison Wesley,

1994.

2. Douglas A Pucknell and Kamaran Eshragian, “ Basic VLSI design “, 3rd edition, PHI,

1994.

3. BELLAOUR & M.I.ELAMSTRY, “Low – Power Digital VLSI Design, Circuits and Systems”,

Kluwer Academic Publishers, 1996.

4. S.IMAM & M.PEDRAM, “Logic synthesis for Low – Power VLSI Designs”, Kluwer

Academic  publishers, 1998.

PEEA01 ADVANCED DIGITAL SYSTEM DESIGN L T P C 3 1 0 4

UNIT I 9

Sequential Circuit DesignAnalysis of Clocked Synchronous Sequential Networks (CSSN) Modeling of CSSN – State Stable Assignment and Reduction – Design of CSSN – Design of Iterative Circuits – ASM Chart – ASM Realization.

UNIT II Asynchronous Sequential Circuit Design 9Analysis of Asynchronous Sequential Circuit (ASC) – Flow Table Reduction – Races in ASC – State Assignment – Problem and the Transition Table – Design of ASC – Static and Dynamic Hazards – Essential Hazards – Data Synchronizers – Designing Vending Machine Controller – Mixed Operating Mode Asynchronous Circuits.

UNIT III Fault Diagnosis And Testability Algorithms 9Fault Table Method – Path Sensitization Method – Boolean Difference Method – Kohavi Algorithm – Tolerance Techniques – The Compact Algorithm – Practical PLA’s – Fault in PLA – Test Generation – Masking Cycle – DFT Schemes – Built-in Self Test.

UNIT IV Synchronous Design Using Programmable Device 9EPROM to Realize a Sequential Circuit – Programmable Logic Devices – Designing a Synchronous Sequential Circuit using a GAL – EPROM – Realization State machine using PLD – FPGA – Xilinx FPGA – Xilinx 2000 - Xilinx 3000

UNIT V System Design Using VHDL 9VHDL Description of Combinational Circuits – Arrays – VHDL Operators – Compilation and Simulation of VHDL Code – Modeling using VHDL – Flip Flops – Registers – Counters – Sequential Machine – Combinational Logic Circuits - VHDL Code for – Serial Adder, Binary Multiplier – Binary Divider – complete Sequential Systems – Design of a Simple Microprocessor.

Page 6: pg_curri_syllabi_ VLSI

TOTAL : 45+15(Tutorial) = 60 periods

REFERENCES:

1. Donald G. Givone “Digital principles and Design” Tata McGraw Hill 2002.

2. John M Yarbrough “Digital Logic applications and Design” Thomson Learning, 2001

3. Nripendra N Biswas “Logic Design Theory” Prentice Hall of India, 2001

4. Charles H. Roth Jr. “Digital System Design using VHDL” Thomson Learning, 1998.

5. Charles H. Roth Jr. “Fundamentals of Logic design” Thomson Learning, 2004.

6. Stephen Brown and Zvonk Vranesic “Fundamentals of Digital Logic with VHDL

Design” Tata McGraw Hill, 2002.

7. Navabi.Z. “VHDL Analysis and Modeling of Digital Systems. McGraw International,

1998

8. Parag K Lala, “Digital System design using PLD” BS Publications, 2003

9. Peter J Ashendem, “The Designers Guide to VHDL” Harcourt India Pvt Ltd, 2002

10. Mark Zwolinski, “Digital System Design with VHDL” Pearson Education, 2004

11. Skahill. K, “VHDL for Programmable Logic” Pearson education, 1996

Page 7: pg_curri_syllabi_ VLSI

PVLA02 HDL MODELING AND IMPLEMENTATION L T P C 3 1 0 4

UNIT I Basic Semiconductor Physics 9 Quantum Mechanical Concepts, Carrier Concentration, Transport Equation Bandgap, Mobility and Resistivity, Carrier Generation and Recombination, Avalanche Process, Noise Sources.

UNIT II Bipolar Device Modeling 9Injection and Transport Model, Continuity Equation, Diode Small Signal and Large Signal (Change Control Model), Transistor Models: Ebber - Molls and Gummel Port Model, Mextram model, SPICE modeling temperature and area effects.

UNIT III Mosfet Modeling 9Introduction Interior Layer, MOS Transistor Current, Threshold Voltage, Temperature Short Channel and Narrow Width Effect, Models for Enhancement, Depletion Type MOSFET, CMOS Models in SPICE.

UNIT IV Parameter Measurement 9General Methods, Specific Bipolar Measurement, Depletion Capacitance, Series Resistances, Early Effect, Gummel Plots, MOSFET: Long and Short Channel Parameters, Statistical Modeling of Biopolar and MOS Transistors.

UNIT V Optoelectronic Device Modeling 9Static and Dynamic Models, Rate Equations, Numerical Technique, Equivalent Circuits, Modeling of LEDs, Laser Diode and Photodetectors.

TOTAL : 45+15(Tutorial) = 60 periods

REFERENCES:1. Philip E. Allen, Douglas R.Hoberg, “CMOS Analog Circuit Design” Second Edition,

Oxford Press - 2002.2. Kiat Seng Yeo, Samir S.Rofail, Wang-Ling Gob, “CMOS / BiCMOS ULSI - Low Voltage,

low Power”, Person education, Low price edition, 2003.3. S.M.Sze “Semiconductor Devices - Physics and Technology”, John Wiley and sons,

1985.4. Giuseppe Massobrio and Paolo Antogentti, “Semiconductor Device Modeling with

SPICE” Second Edition, McGraw-Hill Inc, New York, 1993. 5. Mohammed Ismail & Terri Fiez “Analog VLSI-Signal & Information Processing” 1st

ED,Tata McGraw Hill Publishing company Ltd 2001.

PVLA03 – HDL PROGRAMMING LAB I L T P C 0 0 3 2

1. Modeling of Sequential Digital system using VHDL.

2. Modeling of Sequential Digital system using Verilog.

3. Design and Implementation of ALU using FPGA.

4. Simulation of NMOS and CMOS circuits using SPICE.

Page 8: pg_curri_syllabi_ VLSI

5. Modeling of MOSFET using C.

6. Implementation of MAC Unit using FPGA.

PESA05 EMBEDDED SYSTEM DESIGN L T P C 3 1 0 4

UNIT I Embedded Architecture 9Embedded Computers, Characteristics of Embedded Computing Applications, Challenges in Embedded Computing system design, Embedded system design process- Requirements, Specification, Architectural Design, Designing Hardware and Software Components, System Integration, Formalism for System Design- Structural Description, Behavioral Description, Design Example: Model Train ControllerUNIT II Embedded Processor And Computing Platform 9 ARM processor- processor and memory organization, Data operations, Flow of Control, SHARC processor- Memory organization, Data operations, Flow of Control, parallelism with instructions, CPU Bus configuration, ARM Bus, SHARC Bus, Memory devices, Input/output devices, Component interfacing, designing with microprocessor development and debugging, Design Example : Alarm Clock.UNIT III Networks 9 Distributed Embedded Architecture- Hardware and Software Architectures, Networks for embedded systems- I2C, CAN Bus, SHARC link p ports, ethernet, Myrinet, Internet, Network-Based design- Communication Analysis, system performance Analysis, Hardware platform design, Allocation and scheduling, Design Example: Elevator Controller.

Page 9: pg_curri_syllabi_ VLSI

UNIT IV Real-Time Characteristics 9Clock driven Approach, weighted round robin Approach, Priority driven Approach, Dynamic Versus Static systems, effective release times and deadlines, Optimality of the Earliest deadline first (EDF) algorithm, challenges in validating timing constraints in priority driven systems, Off-line Versus On-line scheduling.UNIT V System Design Techniques 9Design Methodologies, Requirement Analysis, Specification, System Analysis and Architecture Design, Quality Assurance, Design Example: Telephone PBX- System Architecture, Ink jet printer- Hardware Design and Software Design, Personal Digital Assistants, Set-top Boxes.

TOTAL : 45+15(Tutorial) = 60 periodsREFERENCES:

1. Wayne Wolf, Computers as Components: Principles of Embedded Computing System Design, Morgan Kaufman Publishers, 2001.

2. Jane.W.S. Liu Real-Time systems, Pearson Education Asia, 20003. C. M. Krishna and K. G. Shin , Real-Time Systems, ,McGraw-Hill, 1997 4. Frank Vahid and Tony Givargi, Embedded System Design: A Unified Hardware/Software Introduction,s, John Wiley & Sons, 2000.PVLA04 TESTING AND VERIFICATION OF VLSI CIRCUITS L T P C

3 1 0 4

UNIT I 9

Introduction to Testing - Faults in digital circuits - Modeling of faults - Logical Fault Models - Fault detection - Fault location - Fault dominance - Logic Simulation - Types of simulation - Delay models - Gate level Event-driven simulation.

UNIT II 9 Test generation for combinational logic circuits - Testable combinational logic circuit design - Test generation for sequential circuits - design of testable sequential circuits.

UNIT III 9

Design for Testability - Ad-hoc design - Generic scan based design - Classical scan based design - System level DFT approaches.

UNIT IV 9 Built-In Self Test - Test pattern generation for BIST - Circular BIST - BIST Architectures - Testable Memory Design - Test algorithms - Test generation for Embedded RAMs

UNIT V 9 Logic Level Diagnosis - Diagnosis by UUT reduction - Fault Diagnosis for Combinational Circuits - Self-checking design - System Level Diagnosis.

TOTAL : 45+15(Tutorial) = 60 periods

REFERENCES:

1. M. Abramovici, M.A. Breuer and A.D. Friedman, "Digital Systems and Testable Design" Jaico Publishing House, 2002.

2. P.K. Lala, "Digital Circuit Testing and Testability", Academic Press, 2002.

Page 10: pg_curri_syllabi_ VLSI

3. M.L. Bushnell and V.D. Agrawal, "Essentials of Electronic Testing for Digital, Memory and Mixed-Signal VLSI Circuits", Kluwar Academic Publishers, 2002.

4. A.L. Crouch, "Design for Test for Digital IC's and Embedded Core Systems", Prentice Hall International, 2002.

PVLA05 DESIGN OF ANALOG & MIXED MODE VLSI CIRCUITS L T P C 3 1 0 4

UNIT I Basic Cmos Circuit Techniques, Continuous Time And Low-Voltagesignal Processing: 9 Mixed-Signal VLSI Chips-Basic CMOS Circuits-Basic Gain Stage-Gain Boosting Techniques-Super MOSTransistor- Primitive Analog Cells-Linear Voltage-Current Converters-MOS Multipliers and Resistors-CMOS,Bipolar and Low-Voltage BiCMOS Op-Amp Design-Instrumentation Amplifier Design-Low Voltage Filters.UNIT II Basic Bicmos Circuit Techniques, Current -Mode Signal Processing And Neural Information Processing 9Continuous-Time Signal Processing-Sampled-Data Signal Processing-Switched-Current Data Converters-Practical Considerations in SI Circuits Biologically-Inspired Neural Networks - Floating - Gate, Low-Power Neural Networks-CMOS Technology and Models-Design Methodology-Networks-Contrast Sensitive Silicon Retina.UNIT III Sampled-Data Analog Filters, Over Sampled A/D Converters And Analog Integrated Sensors 9 First-order and Second SC Circuits-Bilinear Transformation - Cascade Design-Switched-Capacitor Ladder Filter-Synthesis of Switched-Current Filter- Nyquist rate A/D Converters-Modulators for Over sampled A/D Conversion-First and Second Order and Multibit Sigma-Delta Modulators-Interpolative Modulators –Cascaded Architecture-Decimation Filters-mechanical, Thermal, Humidity and Magnetic Sensors-Sensor Interfaces.UNIT IV Design For Testability And Analog Vlsi Interconnects 9Fault modelling and Simulation - Testability-Analysis Technique-Ad Hoc Methods and General Guidelines-Scan Techniques-Boundary Scan-Built-in Self Test-Analog Test Buses-Design for Electron -Beam Testablity-Physicsof Interconnects in VLSI-Scaling of Interconnects-A Model for Estimating Wiring Density-A Configurable Architecture for Prototyping Analog Circuits.UNIT V Statistical Modeling And Simulation, Analog Computer-Aided Design And Analog And Mixed Analog-Digital Layout 9Review of Statistical Concepts - Statistical Device Modeling- Statistical Circuit Simulation-Automation Analog Circuit Design-automatic Analog Layout-CMOS Transistor Layout-Resistor Layout-Capacitor Layout-Analog Cell Layout-Mixed Analog -Digital Layout.

TOTAL : 45+15(Tutorial) = 60 periodsREFERENCES1. Mohammed Ismail, Terri Fiez, " Analog VLSI signal and Information Processing ", McGraw-

Hill International Editons, 1994.2. Malcom R.Haskard, Lan C.May, " Analog VLSI Design - NMOS and CMOS "., Prentice Hall,

1998.PVLA06 ASIC DESIGN L T P C 3 1 0 4

UNIT I Introduction To ASICS, CMOS Logic And ASIC Library Design 9

Page 11: pg_curri_syllabi_ VLSI

Types of ASICs - Design flow - CMOS transistors CMOS Design rules - Combinational Logic Cell – Sequential logic cell - Data path logic cell - Transistors as Resistors - Transistor Parasitic Capacitance- Logical effort –Library cell design - Library architecture .

UNIT II Programmable ASICS, Programmable ASIC Logic Cells And Programmable ASIC I/O Cells 9 Anti fuse - static RAM - EPROM and EEPROM technology - PREP benchmarks - Actel ACT - Xilinx LCA –Altera FLEX - Altera MAX DC & AC inputs and outputs - Clock & Power inputs - Xilinx I/O blocks.UNIT III Programmable ASIC Interconnect, Programmable ASIC Design Software And Low Level Design Entry 9Actel ACT -Xilinx LCA - Xilinx EPLD - Altera MAX 5000 and 7000 - Altera MAX 9000 - Altera FLEX –Design systems - Logic Synthesis - Half gate ASIC -Schematic entry - Low level design language - PLA tools -EDIF- CFI design representation.

UNIT IV

Logic Synthesis, Simulation And Testing 9Verilog and logic synthesis -VHDL and logic synthesis - types of simulation -boundary scan test - fault simulation - automatic test pattern generation.

UNIT V

ASIC Construction, Floor Planning, Placement And Routing 9 System partition - FPGA partitioning - partitioning methods - floor planning - placement - physical design flow –global routing - detailed routing - special routing - circuit extraction - DRC.

TOTAL : 45+15(Tutorial) = 60 periods

REFERENCES:1. M.J.S .Smith, "Application Specific Integrated Circuits, Addison -Wesley Longman Inc.,

1997. 2. Farzad Nekoogar and Faranak Nekoogar, From ASICs to SOCs: A Practical Approach,

Prentice Hall PTR, 2003.3. Wayne Wolf, FPGA-Based System Design, Prentice Hall PTR, 2004. 4. R. Rajsuman, System-on-a-Chip Design and Test. Santa Clara, CA: Artech House

Publishers, 2000. 5. F. Nekoogar. Timing Verification of Application-Specific Integrated Circuits (ASICs). Prentice Hall PTR, 1999.PVLA07 HDL Programming Lab II L T P C 0 0 3 2

1.) Implementation of 8 Bit ALU in FPGA / CPLD.

2.) Implementation of 4 Bit Sliced processor in FPGA / CPLD.

3.) Implementation of Elevator controller using embedded microcontroller.

4.) Implementation of Alarm clock controller using embedded microcontroller.

5.) Implementation of model train controller using embedded microcontroller.

Page 12: pg_curri_syllabi_ VLSI

LIST OF ELECTIVESPVLA09 VLSI DESIGN TECHNIQUES L T P C 3 1 0 4

UNIT I Introduction 9

Overview of digital VLSI design methodologies - Trends in IC Technology - Advanced Boolean algebra - Shannon's expansion theorem - Consensus theorem - Octal designation- Run measure - Buffer gates - Gate expander - Reed Muller expansion - Synthesis of multiple output combinational logic circuits by product map method - Design of static hazard free, dynamic hazard free logic circuits. UNIT II Analog VLSI And High Speed VLSI 9Introduction to analog VLSI - realization of neural networks and switched capacitor filters - Sub-micron technology and GAs VLSI Technology. UNIT III Programmable ASICs 9Anti fuse - static RAM - EPROM and technology - PREP bench marks - Actel ACT - Xilinx LCA - Altera flex - Altera MAX DC & AC inputs and outputs - Clock and power inputs - Xilinx I/O blocks. UNIT IV Programmable ASIC Design Software 9Actel ACT - Xilinx LCA - Xilinx EPLD - Altera MAX 5000 and 7000 - Altera MAX 9000 - design systems - logic synthesis - half gate - schematic entry - Low level design language - PLA tools - EDIF - CFI design representation. UNIT V Logic Synthesis, Simulation And Testing 9Basic features of VHDL language for behavioral modeling and simulation - Summary of VHDL data types - Dataflow and structural modeling - VHDL and logic synthesis - Circuit and layout verification - Types of simulation - Boundary scan test - Fault simulation - Automatic test pattern generation - design examples.

TOTAL : 45+15(Tutorial) = 60 periods

Page 13: pg_curri_syllabi_ VLSI

TEXT BOOKS

1. William I.Fletcher, "An Engineering Approach to Digital Design", Prentice Hall of India 2.M.J.S. Smith, "Application Specific Integrates Circuits", Addison Wesley Longman Inc. 1997 1. Amar Mukharjee, "Introduction to NMOS and CMOS VLSI System Design", Prentice Hall,

1986 2. Frederick J.Hill and Gerald R.Peterson, "Computer Aided Logical Design with emphasis on

VLSI"

PVLA10 LOW POWER VLSI DESIGN L T P C 3 0 0 3

UNIT I Power Dissipation In CMOS 9Hierarchy of limits of power – Sources of power consumption – Physics of power dissipation in CMOS FET devices- Basic principle of low power design.

UNIT II Power Optimization 9Logical level power optimization – Circuit level low power design – Circuit techniques for reducing power consumption in adders and multipliers.

UNIT III Design Of Low Power CMOS Circuits 9Computer Arithmetic techniques for low power systems – Reducing power consumption in memories – Low power clock, Interconnect and layout design – Advanced techniques – Special techniques

UNIT IV

Power Estimation 9 Power estimation techniques – Logic level power estimation – Simulation power analysis – Probabilistic power analysis.

UNIT V Synthesis And Software Design For Low Power 9Synthesis for low power –Behavioral level transforms- Software design for low power -

TOTAL : 45 periods

REFERENCES:

1. K.Roy and S.C. Prasad , LOW POWER CMOS VLSI circuit design, Wiley,20002. Dimitrios Soudris, Chirstian Pignet, Costas Goutis, Designing CMOS Circuits For Low

Power, Kluwer,20023. J.B. Kuo and J.H Lou, Low voltage CMOS VLSI Circuits,Wiley 1999.4. A.P.Chandrakasan and R.W. Broadersen, Low power digital CMOS design, Kluwer,1995.5. Gary Yeap, Practical low power digital VLSI design, Kluwer,1998.6. Abdellatif Bellaouar,Mohamed.I. Elmasry, Low power digital VLSI design,s Kluwer, 1995.7. James B. Kuo, Shin – chia Lin, Low voltage SOI CMOS VLSI Devices and Circuits. John

Wiley and sons, inc 2001

Page 14: pg_curri_syllabi_ VLSI

PVLA11 Nano Electronics L T P C 3 0 0 3

UNIT I Basic Electronics 9Atom, Molecular and Crystal structures. Atomic numbers, operation of Diodes, transistors, Energy gap, Quasiparticles in semiconductors, electrons, holes and phonons

UNIT II Shrink-Down Approaches 9Introduction, CMOS Scaling, The nanoscale MOSFET, Finfets, Vertical MOSFETs, limits toscaling, system integration limits (interconnect issues etc.).

UNIT III Tunneling Transistors And Optoelectronics 9Resonant Tunneling Transistors, Single electron, transistors, new storage, optoelectronic, andspintronics devices.

UNIT IV ATOMS-UP Approaches 9Molecular electronics involving single molecules as electronic devices, transport in molecularstructures, molecular systems as alternatives to conventional electronics,

UNIT V Molecular Interconnects And Band Structures 9Molecular interconnects- Carbon nanotube electronics, band structure & transport, devices,applications.

TOTAL : 45 periods

REFERENCES:

1. Poole. C.P. Jr., Owens. F. J., Introduction to Nanotechnology, Wiley, 2003.

2. Waser Ranier, Nanoelectronics and Information Technology

(Advanced Electronic Materials and Novel Devices), Wiley-VCH 2003.

3. Drexler. K.E., Nanosystems, Wiley 1992.

4. John H. Davies, The Physics of Low-Dimensional Semiconductors, Cambridge University

Press, 1998

PVLA12 PHYSICAL DESIGN OF VLSI CIRCUITS L T P C 3 0 0 3

UNIT IIntroduction To VLSI Technology 9Layout Rules-Circuit abstraction Cell generation using programmable logic array transistor chaining, WeinBerger arrays and gate matrices-layout of standard cells gate arrays and sea

Page 15: pg_curri_syllabi_ VLSI

of gates,field programmable gate array(FPGA)-layout methodologies-Packaging-Computational Complexity-Algorithmic Paradigms

UNIT II Placement Using Top-Down Approach 9Partitioning: Approximation of Hyper Graphs with Graphs, Kernighan-Lin Heuristic- Ratiocut- partition with capacity and i/o constrants. Floor planning: Rectangular dual floor planning- hierarchial approach- simulated annealing- Floor plan sizing- Placement: Cost function- force directed method- placement by simulated annealing- partitioning placement- module placement on a resistive network – regular placement- linear placement.

UNIT III Routing Using Top Down Approach: 9Fundamentals: Maze Running- line searching- Steiner trees Global Routing: Sequential Approaches- hierarchial approaches- multicommodity flow based techniques- Randomised Routing- One Step approach- Integer Linear Programming Detailed Routing: Channel Routing- Switch box routing. Routing in FPGA: Array based FPGA- Row based FPGAs

UNIT IV Performance Issues In Circuit Layout: 9Delay Models: Gate Delay Models- Models for interconnected Delay- Delay in RC trees. Timing – Driven Placement: Zero Stack Algorithm- Weight based placement- Linear Programming Approach Timing Driving Routing: Delay Minimization- Click Skew Problem- Buffered Clock Trees. Minimization: constrained via Minimization- unconstrained via Minimization- Other issues in minimization

UNIT V Single Layer Routing, Cell Generation And Compaction 9Planar subset problem (PSP) - Single layer global routing- Single Layer Global Routing- Single Layer Detailed Routing- Wire length and bend minimization technique – Over The Cell (OTC) Routing- Multiple chip modules(MCM)- Programmable Logic Arrays- Transistor chaining- Wein Burger Arrays- Gate matrix layout- 1D compaction- 2D compaction

TOTAL : 45 periods

REFERENCES:

1. Sarafzadeh, C.K. Wong, “An Introduction to VLSI Physical Design”, Mc Graw Hill

International Edition 1995

2. Preas M. Lorenzatti, “ Physical Design and Automation of VLSI systems”, The Benjamin

Cummins Publishers, 1998.

3. Ban Wong, Anurag Mittal, Yu Cao, Greg Starr, “Nano CMOS Circuit and Physical Design”

Wiley, John & Sons, Incorporated, 2004.

4. Naveed A. Sherwani “Algorithm for VLSI Physical Design Automation”, 3rd Edition ,

Springer, 1998.

5. Sadiq M. Sait, Habib Youssef “VLSI Physical Design Automation, Theory and Practice”

World Scientific Publishing Company, 1st Edition,1999.

Page 16: pg_curri_syllabi_ VLSI

6. Bryan T. Preas “Physical Design Automation of VLSI system”, Michael Lorenzetti

publisher, Benjamin – Cummings Pub Co,1998.

PESA04 ADVANCED EMBEDDED SYSTEMS L T P C 3 1 0 4

UNIT I Introduction And Review Of Embedded Hardware 9

Terminology – Gates – Timing diagram – Memory – Microprocessor buses – Direct memory access – Interrupts – Built interrupts – Interrupts basis – Shared data problems – Interrupt latency - Embedded system evolution trends – Round-Robin – Round Robin with interrupt function – Rescheduling architecture – algorithm.UNIT II Real Time Operating System 9

Task and Task states – Task and data – Semaphore and shared data operating system services – Message queues timing functions – Events – Memory management – Interrupt routines in an RTOS environment – Basic design using RTOS.UNIT III Embedded Hardware, Software And Peripherals 9 Custom single purpose processors: Hardware – Combination Sequence – Processor design – RT level design – optimising software: Basic Architecture – Operation – Programmers view – Development Environment – ASIP – Processor Design – Peripherals – Timers, counters and watch dog timers – UART – Pulse width modulator – LCD controllers – Key pad controllers – Stepper motor controllers – A/D converters – Real time clock.UNIT IV Memory And Interfacing 9

Memory: Memory write ability and storage performance – Memory types – composing memory – Advance RAM interfacing communication basic – Microprocessor interfacing I/O addressing – Interrupts – Direct memory access – Arbitration multilevel bus architecture – Serial protocol – Parallel protocols – Wireless protocols – Digital camera example.UNIT V concurrent process models and hardware software

Page 17: pg_curri_syllabi_ VLSI

co-design 9Modes of operation – Finite state machines – Models – HCFSL and state charts language – state machine models – Concurrent process model – Concurrent process – Communication among process –Synchronization among process – Implementation – Data Flow model. Design technology; Automation synthesis – Hardware software co-simulation – IP cores – Design Process Model.

TOTAL : 45+15(Tutorial) = 60 periodsREFERENCES:

1. David. E.Simon “An Embedded Software Primer”, Pearson Education, 2001.

2. Frank Vahid and Tony Gwargie “Embedded System Design”, John Wiley & sons, 2002.3. Steve Heath, “Embedded System Design”, Elserien, Second Edition, 2004.

PVLA13 DSP PROCESSOR ARCHITECTURE AND PROGRAMMING L T P C 3 0 0 3

UNIT I Fundamentals Of Programmable DSPS 9Multiplier and Multiplier accumulator – Modified Bus Structures and Memory access in P-DSPs – Multiple access memory – Multi-port memory – VLIW architecture- Pipelining – Special Addressing modes in P-DSPs – On chip Peripherals.

UNIT II TMS320C5X Processor 9Architecture – Assembly language syntax - Addressing modes – Assembly language Instructions - Pipeline structure, Operation – Block Diagram of DSP starter kit – Application Programs for processing real time signals.

UNIT III TMS320C3X Processor 9

Architecture – Data formats - Addressing modes – Groups of addressing modes- Instruction sets - Operation – Block Diagram of DSP starter kit – Application Programs for processing real time signals – Generating and finding the sum of series, Convolution of two sequences, Filter designUNIT IV ADSP Processors 9

Architecture of ADSP-21XX and ADSP-210XX series of DSP processors- Addressing modes and assembly language instructions – Application programs –Filter design, FFT calculation.

UNIT V Advanced Processors 9

Architecture of TMS320C54X: Pipe line operation, Code Composer studio - Architecture of TMS320C6X - Architecture of Motorola DSP563XX – Comparison of the features of DSP family processors.

TOTAL : 45 periods

REFERENCES:

1. B.Venkataramani and M.Bhaskar, “Digital Signal Processors – Architecture, Programming and Applications” – Tata McGraw – Hill Publishing Company Limited. New Delhi, 2003.

2. User guides Texas Instrumentation, Analog Devices, Motorola.

Page 18: pg_curri_syllabi_ VLSI

PVLA14 SEMICONDUCTOR PHYSICS & PROCESSING L T P C 3 0 0 3

UNIT I 9

Random Access Memory TechnologiesStatic Random Access Memories (SRAMS):SRAM Cell Structures-MOS SRAM Architecture-MOS SRAM Cell and Peripheral Circuit Operation-BipolarSRAM Technologies-Silicon On Insulator (SOl) Technology-Advanced SRAM Architectures and Technologies-Application Specific SRAMs.Dynamic Random Access Memories (DRAMs): DRAM Technology Development-CMOS DRAMs-DRAMs Cell Theory and Advanced Cell Strucutures-BiCMOS,DRAMs-Soft Error Failures in DRAMs-Advanced DRAM Designs and Architecture-Application Specific DRAMs.

UNIT II 9

Nonvolatile Memories Masked Read-Only Memories (ROMs)-High Density ROMs-Programmable Read-Only Memories (PROMs)-BipolarPROMs-CMOS PROMs-Erasable (UV) - Programmable Road-Only Memories (EPROMs)-Floating-GateEPROM Cell-One-Time Programmable (OTP) Eproms-Electrically Erasable PROMs (EEPROMs)-EEPROM Technology And Arcitecture-Nonvolatile SRAM-Flash Memories (EPROMs or EEPROM)-AdvancedFlash Memory Architecture.

UNIT III 9 Memory fault modeling, testing, and memory design for Testability and fault toleranceRAM Fault Modeling, Electrical Testing, Peusdo Random Testing-Megabit DRAM Testing-Nonvolatile Memory Modeling and Testing-IDDQ Fault Modeling and Testing-Application Specific Memory Testing

UNIT IV

Semiconductor Memory Reliability And Radiation Effects 9 General Reliability Issues-RAM Failure Modes and Mechanism-Nonvolatile Memory Reliability-Reliability Modeling and Failure Rate Prediction-Design for Reliability-Reliability Test Structures-Reliability Screening andQualification. RAM Fault Modeling, Electrical Testing, Peusdo Random Testing-Megabit DRAM Testing-Nonvolatile Memory Modeling and Testing-IDDQ Fault Modeling and Testing-Application Specific Memory Testing.

UNIT V

Packaging Technologies 9Radiation Effects-Single Event Phenomenon (SEP)-Radiation Hardening Techniques-Radiation Hardening Process and Design Issues-Radiation Hardened Memory Characteristics-Radiation Hardness Assurance and Testing - Radiation Dosimetry-Water Level Radiation Testing and Test Structures. Ferroelectric Random Access Memories (FRAMs)-Gallium Arsenide (GaAs) FRAMs-Analog Memories-Magnetoresistive Random Access Memories (MRAMs)-Experimental Memory Devices. Memory Hybrids and MCMs (2D)-Memory Stacks and MCMs (3D)-Memory MCM Testing and Reliability Issues-Memory Cards-High Density Memory Packaging Future Directions.

TOTAL : 45 periods

Page 19: pg_curri_syllabi_ VLSI

REFERENCES

1. Ashok K. Sharma, Semiconductor Memories: Technology, Testing, and Reliability, Wiley-

IEEE Press, 2002.

2. Ashok K. Sharma , Semiconductor Memories, Two-Volume Set, Wiley-IEEE Press, 2003.

3. Ashok K. Sharma, Semiconductor Memories: Technology, Testing, and Reliability, Prentice

Hall of India, 1997.

4. Brent Keeth, R. Jacob Baker, DRAM Circuit Design: A Tutorial, Wiley-IEEE Press, 2000.

5.Betty Prince , High Performance Memories: New Architecture DRAMs and SRAMs -

Evolution and Function, Wiley, 1999.

PVLA15 GENETIC ALGORITHMS AND THEIR APPLICATION L T P C 3 0 0 3

UNIT I 9

Introduction,GA Technology-Steady State Algorithm-Fitness Scaling-Inversion

UNIT II 9 GA for VLSI Design, Layout and Test automation- partitioning-automatic placement,routing technology,Mapping for FPGA- Automatic test generation- Partitioning algorithm Taxonomy-Multiway Partitioning

UNIT III 9 Hybrid genetic – genetic encoding-local improvement-WDFR-Comparison of Cas- Standard cell placement-GASP algorithm-unified algorithm.

UNIT IV 9 Global routing-FPGA technology mapping-circuit generation-test generation in a GA frame work-test generation procedures.

UNIT V 9 Power estimation-application of GA-Standard cell placement-GA for ATG-problem encoding- fitness function-GA vs Conventional algorithm.

TOTAL : 45 periods

Page 20: pg_curri_syllabi_ VLSI

REFERENCES:

1. Pinaki Mazumder,E.MRudnick,”Genetic Algorithm for VLSI Design,Layout and test

Automation”, Prentice Hall,1998.

2. Randy L. Haupt, Sue Ellen Haupt, “Practical Genetic Algorithms” Wiley –

Interscience,1977.

3. Ricardo Sal Zebulum, Macro Aurelio Pacheco, Marley Maria B.R. Vellasco, Marley Maria

Bernard Vellasco “Evolution Electronics: Automatic Design of electronic Circuits and

Systems Genetic Algorithms”, CRC press, 1st Edition Dec 2001.

4. John R.Koza, Forrest H.Bennett III, David Andre , Morgan Kufmann, “Genetic

Programming Automatic programming and Automatic Circuit Synthesis”, 1st Edition , May

1999.

Page 21: pg_curri_syllabi_ VLSI

PVLA16 FPGA BASED SYSTEM DESIGN L T P C 3 0 0 3

UNIT I Multirate Signal Processing 9Decimation and Interpolation. Spectrum of decimated and interpolated signals, Polyphasedecomposition of FIR filters and its applications to multi-rate DSP. Sampling rate converters,Sub-band encoder.

UNIT II Filter Banks 9Uniform filter bank. Direct and DFT approaches. Introduction to ADSL Modem. Discrete multi-tone modulation and its realization using DFT. QMF. Computation of DWT using filter banks.

UNIT III DDFS 9ROM LUT approach. Spurious signals jitter. Computation of special functions using CORDIC. Vector and rotation mode of CORDIC. CORDIC architectures

UNIT IV Block Diagram Of A Software Radio 9Digital down converters and demodulators Universal modulator and demodulator using CORDIC. Incoherent demodulation - digital approach for I and Q generation, special sampling schemes. CIC filters. Residue number system and high speed filters using RNS. Down conversion using discrete Hilbert transform. Under sampling receivers, Coherent demodulation schemes.

UNIT V Speech Coding- Speech Apparatus 9Models of vocal tract. Speech coding using linear prediction. CELP coder. An overview of waveform coding. Vocoders, Vocoder attributes. Block diagrams of encoders and decoders of G723.1, G726, G727, G728 and G729.

TOTAL : 45 periods

REFERENCES:

1. Mitra.S.K., Digital Signal processing, McGrawHill, 1998.

2. Reed. J H., Software Radio, Pearson, 2002.

3. Meyer.U., Baese, Digital Signal Processing with FPGAs, Springer, 2001.

PVLA17 ELECTROMAGNETIC INTERFERENCE AND COMPATIBILITY IN SYSTEM DESIGN L T P C

3 0 0 3

UNIT I 9 EMI Environment EMI/EMC concepts and definitions, Sources of EMI, conducted and radiated EMI, Transient EMI, Time domain Vs Frequency domain EMI, Units of measurement parameters, Emission and immunity concepts, ESD.

Page 22: pg_curri_syllabi_ VLSI

UNIT II 9 EMI Coupling PrinciplesConducted, Radiated and Transient Coupling, Common Impedance Ground Coupling, Radiated Common Mode and Ground Loop Coupling, Radiated Differential Mode Coupling, Near Field Cable to Cable Coupling, Power Mains and Power Supply coupling.

UNIT III 9

EMI/EMC Standards And MeasurementsCivilian standards - FCC,CISPR,IEC,EN,Military standards - MIL STD 461D/462, EMI Test Instruments /Systems, EMI Shielded Chamber, Open Area Test Site, TEM Cell, Sensors/Injectors/Couplers, Test beds for ESD and EFT, Military Test Method and Procedures (462).UNIT IV 9 EMI Control TechniquesShielding, Filtering, Grounding, Bonding, Isolation Transformer, Transient Suppressors, Cable Routing, Signal Control, Component Selection and Mounting.

UNIT V 9 EMC DESIGN OF PCBsPCB Traces Cross Talk, Impedance Control, Power Distribution Decoupling, Zoning, Motherboard Designs and Propagation Delay Performance Models.

TOTAL : 45 periodsREFERENCES:

1. Henry W.Ott, "Noise Reduction Techniques in Electronic Systems", John Wiley and Sons, NewYork. 1988.

2. C.R.Paul, “Introduction to Electromagnetic Compatibility” , John Wiley and Sons, Inc, 1992

3. V.P.Kodali, "Engineering EMC Principles, Measurements and Technologies", IEEE Press, 1996.

4. Bernhard Keiser, "Principles of Electromagnetic Compatibility", Artech house, 3rd Ed, 1986.

PVLA18 VLSI TECHNOLOGY L T P C 3 0 0 3

UNIT I 9 Crystal Growth, Wafer Preparation, Epitaxy And OxidationElectronic Grade Silicon, Czochralski crystal growing, Silicon Shaping, processing consideration, Vapor phase Epitaxy, Molecular Beam Epitaxy, Silicon on Insulators, Epitaxial Evaluation, Growth Mechanism and kinetics, Thin Oxides, Oxidation Techniques and Systems, Oxide properties, Redistridution of Dopants at interface, Oxidation of Poly Silicon, Oxidation inducted Defects.

UNIT II 9 Lithography And Relative Plasma EtchingOptical Lithography, Electron Lithography, X-Ray Lithography, Ion Lithography, Plasma properties, Feature Size control and Anisotropic Etch mechanism, relative Plasma Etching techniques and Equipments,

UNIT III 9 Deposition, Duffusion, Ion Implementation And Metalisation

Page 23: pg_curri_syllabi_ VLSI

Deposition process, Polysilicon, plasma assisted Deposition, Models of Diffusion in Solids, Flick’s one dimensional Diffusion Equation – Atomic Diffusion Mechanism – Measurement techniques - Range theory- Implant equipment. Annealing Shallow junction – High energy implantation – Physical vapour deposition – Patterning.

UNIT IV 9Process Simulation and VLSI Process Integration. Ion implantation – Diffusion and oxidation – Epitaxy – Lithography – Etching and Deposition- NMOS IC Technology – CMOS IC Technology – MOS Memory IC technology - Bipolar IC Technology – IC Fabrication.UNIT V 9 Analytical , Assembly Techniques and Packaging of VLSI Devices. Analytical Beams – Beams Specimen interactions - Chemical methods – Package types – banking design consideration – VLSI assembly technology – Package fabrication technology.

TOTAL : 45 periods

REFERENCES:

1. S.M.Sze, “VLSI Technology”, Mc.Graw.Hill Second Edition. 1998.2.Amar mukherjee, “Introduction to NMOS and CMOS VLSI System design Prentice Hall India.2000.3.James D Plummer, Michael D. Deal, Peter B.Griffin, “Silicon VLSI Technology fundamentals practice and Modeling”, Prentice Hall India.2000.4. Wai Kai Chen,’VLSI Technology” CRC press,2003.PVLA19 SPEECH AND AUDIO SIGNAL PROCESSING L T P C 3 0 0 3

UNIT I 9 Mechanics Of SpeechSpeech production mechanism – Nature of Speech signal – Discrete time modelling of Speech production – Representation of Speech signals – Classification of Speech sounds – Phones – Phonemes – Phonetic and Phonemic alphabets – Articulatory features. Music production – Auditory perception – Anatomical pathways from the ear to the perception of sound – Peripheral auditory system – Psycho acoustics

UNIT II 9Time Domain Methods For Speech Processing Time domain parameters of Speech signal – Methods for extracting the parameters Energy, Average Magnitude – Zero crossing Rate – Silence Discrimination using ZCR and energy – Short Time Auto Correlation Function – Pitch period estimation using Auto Correlation Function

UNIT III 9 Frequency Domain Method For Speech Processing Short Time Fourier analysis – Filter bank analysis – Formant extraction – Pitch Extraction – Analysis by Synthesis- Analysis synthesis systems- Phase vocoder—Channel Vocoder.HOMOMORPHIC SPEECH ANALYSIS: Cepstral analysis of Speech – Formant and Pitch Estimation – Homomorphic Vocoders.

UNIT IV 9 Linear Predictive Analysis Of SpeechFormulation of Linear Prediction problem in Time Domain – Basic Principle – Auto correlation method – Covariance method – Solution of LPC equations – Cholesky method – Durbin’s Recursive algorithm – lattice formation and solutions – Comparison of different methods –

Page 24: pg_curri_syllabi_ VLSI

Application of LPC parameters – Pitch detection using LPC parameters – Formant analysis – VELP – CELP.

UNIT V 9Application Of Speech & Audio Signal ProcessingAlgorithms: Spectral Estimation, dynamic time warping, hidden Markov model – Music analysis – Pitch Detection – Feature analysis for recognition – Music synthesis – Automatic Speech Recognition – Feature Extraction for ASR – Deterministic sequence recognition – Statistical Sequence recognition – ASR systems – Speaker identification and verification – Voice response system – Speech Synthesis: Text to speech, voice over IP.

TOTAL : 45 periods

REFERENCES:

1. Ben Gold and Nelson Morgan, Speech and Audio Signal Processing, John Wiley and

Sons Inc. , Singapore, 2004

2. L.R.Rabiner and R.W.Schaffer – Digital Processing of Speech signals – Prentice Hall -

1978

3. Quatieri – Discrete-time Speech Signal Processing – Prentice Hall – 2001.

4. J.L.Flanagan – Speech analysis: Synthesis and Perception – 2nd edition – Berlin – 1972

Page 25: pg_curri_syllabi_ VLSI

PVLA20 FUZZY LOGIC AND NEURAL NETWORKS L T P C 3 0 0 3

UNIT I Introduction To Fuzzy Logic 9Introduction to Fuzzy sets, Fuzzy relation, Approximate reasoning, Rules.

UNIT II Fuzzy Control Design 9Fuzzy control design parameters, Rule base, data base, choice of fuzzification procedure, Choice of defuzzification procedure.

UNIT III Types Of Fuzzy Control 9Nonlinear fuzzy control, adaptive fuzzy control.

UNIT IV Introduction To Neural Networks 9Biological Neurons, Artificial Neurons – various models,

UNIT V Artificial Neural Networks 9Various structures, Learning Strategies, Applications.

TOTAL : 45 periods

REFERENCES:

1. Driankov.D., Hans.H., Michael.R., An Inroduction to Fuzzy Control, Springer-Verlag, 1993.

2. Beale.R., Jackson. T.- Neural Computing - An Introduction, Adam Hilger, 1990.

3. Kosko.B., Neural Networks and Fuzzy Systems - A Dynamical System Approach to Machine

Intelligence, PHI, 1994.

PVLA21 COMPUTER AIDED DESIGN FOR VLSI L T P C 3 0 0 3

UNIT – I   Basic Algorithms and Data structures 9

Data Structures and Basic Algorithms – Algorithmic Graph Theory and Computational complexity – Tractable and Intractable problems - General Purpose Methods for Combinational Optimization.                       UNIT – II   Partitioning – Floor planning – Placement & Routing Algorithms  

9

Page 26: pg_curri_syllabi_ VLSI

Partitioning – problem formulation – classification of partitioning algorithms – group migration algorithms – simulated annealing and evolution – performance driven partitioning - floor planning and pin assignment – problem formulation – classification of floor planning algorithms – classification of pin assignment algorithms – placement – problem formulation – classification of placement algorithms – simulation based placement – partitioning based placement – performance driven placement – routing – global routing – problem formulation – classification of global routing algorithms – detailed routing – problem formulation – classification of detailed routing algorithms.UNIT – III   Simulation, Logic synthesis & Verification   9Simulation – Different levels of simulation - Logic synthesis & Verification – basic issues in combinational logic synthesis – binary decision diagrams - ROBDD principles – implementation and construction – manipulation – variable ordering –applications to verification and combinatorial optimization.UNIT – IV   High level synthesis & Compaction   9Hardware models for high level synthesis – internal representation of the input algorithm – allocation, assignment and scheduling -  Compaction – problem formulation – classification of compaction algorithms – one dimensional compaction – one and a half dimensional compaction – two dimensional compaction – hierarchical compaction – recent trends in compaction.UNIT – V   Physical Design Automation of FPGAs & MCMS 9Physical Design Automation of FPGAs – FPGA technologies – physical design cycle for FPGAs – partitioning – routing - Physical design automation of MCMS – MCM technologies – MCM Physical design cycle – partitioning – placement – routing -VHDL - Verilog - implementation of simple circuits using VHDL and Verilog.

TOTAL : 45 periods

REFERENCES:

1. N.A.Sherwani, “Algorithms for VLSI Physical Design Automation”, 3rd Edition, Kluwer Academic,1999.

2. S.H.Gerez, “Algorithms for VLSI Design Automation”, John Wiley, 1998.

PVLA22 VLSI SYSTEM DESIGN L T P C 3 0 0 3

UNIT - I   CMOS Subsystem Design 9Introduction – Data path operations –Parity generator – Comparators – Zero/one detectors- Binary counters – Boolean operations – Multiplication – Shifters.

UNIT - II   Memory Elements 9Read/write memory :- RAM- Register files – FIFOs, LIFOs, SIPOs- Serial Access memory. Read only memory – Content Addressable memory - Finite – State Machine – FSM Design procedure – Control Logic implementation :- PLA Control implementation – ROM Control implementation – Multilevel logic – An example of control logic implementation.

UNIT - III   Testing Of Combinational Circuits 9Faults in digital circuits – Failures and faults – Modeling of faults – Temporary faults – Test generation for Combinational logic circuits – testable combinational logic circuit design – Scan based design and JTAG testing issues.

UNIT - IV   Testing Of Sequential Circuits 9Test generation for sequential circuits – Design of testable sequential CK5- Built in self test – Testable memory design.

Page 27: pg_curri_syllabi_ VLSI

UNIT - V   Verification And Testing 9Verification – Timing verification – Testing concepts –  Fault coverage – ATPG – Types of tests – Testing FPGAs – Design for testability.

TOTAL : 45 periods

REFERENCES:

1. N.H.E.Weste and K.Eshraghian, “ Principles of CMOS VLSI Design”, 2nd Edition  - Addition Wesley,1993.

2. Jan .M.Rabaey, “Digital Integrated Circuits a design perspective” , PHI 1st Edition, 1995.