Patterning Challenges and Opportunities: Etch and … · Patterning Challenges and Opportunities:...

24
Y. Zhang et. al., Applied Materials Patterning Challenges and Opportunities: Etch and Film Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee Applied Materials, Inc. SEMICON, Taiwan 2016 Sept. 07-09, 2016, Taipei, Taiwan

Transcript of Patterning Challenges and Opportunities: Etch and … · Patterning Challenges and Opportunities:...

Y. Zhang et. al., Applied Materials

Patterning Challenges and Opportunities:

Etch and Film

Ying Zhang, Shahid Rauf, Ajay Ahatnagar, David Chu, Amulya Athayde, and Terry Y. Lee

Applied Materials, Inc.

SEMICON, Taiwan 2016

Sept. 07-09, 2016, Taipei, Taiwan

Y. Zhang et. al., Applied Materials

Outline

• Advanced nodes pose challenges for patterning

• These challenges demand new film and etch/removal capabilities

• Atomic Level Deposition

• Atomic Level Etch and Removal

– Low electron temperature plasma etch

– Highly selective radical based removal

• Closing remarks

2

Y. Zhang et. al., Applied Materials

Advanced nodes pose

challenges for patterning

Y. Zhang et. al., Applied Materials

• Lithography Technology

– 248nm

– 193nm

– 193i

– Litho multiple exposure

– EUV

– Complementary Litho

• e.g., 193i + EUV

– Key challenge:

• Overlay

• EPE

• Materials Engineering

– Etch

– Film

– ALD

– Gapfill

– Selective removal

– ALE

– Selective deposition/growth

– Key advantage:

• Enable self-align schemes

• Atomic Level Controllability

Patterning Technology Trend

4

Lithography Technology

Materials Engineering

Y. Zhang et. al., Applied Materials

SAxP Flows

• In SAxP pitch splitting flows

– 1 litho step + many non-litho steps (film, etch, etc.)

– e.g.: SAQP:

5

Litho Etch ALD Etch ALD Etch

Y. Zhang et. al., Applied Materials

CD/CDU/LER/LWR dominated by Litho, Etch and ALD

• In SAQP, there are 8 “edges”:

– Direct edge: = f (Litho CD/CDU/LER/LWR)

– S1 edge: = f (Litho and 1st spacer CD/CDU/LER/LWR)

– S2 edge: = f (1st and 2nd spacer CD/CDU/LER/LWR)

– S1/S2 edge: = f (1st , 1st spacer and 2nd spacer CD/CDU/LER/LWR)

6

Source: Schenker, Intel SPIE 2016

To systematically reduce EPE:

• CD/CDU/LER/LWR of all edges at all steps

need to be measured to trace down root

causes

• Litho the key source of LER

• Etch/ALD the key for pitch walking

Y. Zhang et. al., Applied Materials

These challenges demand new

film and etch/removal

capabilities - ALD

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

Conventional ALD

Conventional ALD vs. OlympiaTM Reconfigures ALD

8

A

B

Off

Off

On

On

OlympiaTM ALD What is ALD?

Divides CVD into two half-reactions

Is self-limiting, producing uniform, conformal deposition

Wafer travels continuously

Spatially separated chemistries

Chemistry-free zones isolate individual chemistries

Precursor Precursor

Wafer is stationary

Alternating chemistries

Purge separates chemistries

Primary technology used today

A B A B

A B

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

Treatment

X

Modular Design for Atomic-Level Engineering

Precursor Precursor Precursor

20n

m Silicon Oxide

20n

m Silicon Nitride

20nm

Titanium Oxide

100nm

Aluminum

Oxide

20nm

Titanium Nitride

Versatility Broadens Spectrum of

Achievable ALD Materials without Compromising

Productivity

9

A B Thermal

B p

A Plasma

Enhanced

ALD Mode Process Sequence

Atomic-

Layer

Treatment

X B A

Conventional

ALD

OlympiaTM

ALD

Source: Applied Materials, Inc.

Y. Zhang et. al., Applied Materials

These challenges demand new

film and etch/removal

capabilities - Etch

Y. Zhang et. al., Applied Materials

Plasma etching patterning trend

• Thin Layer Etching (TLE)

• Atomic Layer Etching (ALE)

• Complex pulsing technologies

• Advanced radical etching

• Low Te plasmas

• Neutral beam

• …

11

RIE

Mainstream plasma technologies

– Variety of CCP

– Variety of ICP

– ECR

– DSP/RP

Add-on’s

– Variety of RF pulsing technologies

Mainstream plasma technologies

– Variety of CCP

– Variety of ICP

– ECR

– DSP/RP

Add-on’s

– Variety of RF pulsing technologies

Y. Zhang et. al., Applied Materials

Basic Mechanisms of Reactive Ion Etching

Ion-neutral reaction synergism

– One of the most important concepts of plasma-surface chemistry is the

synergism of ion and neutral reactions

– Three key aspects of ion bombardment:

• Stimulate surface reactions

• Stimulate desorption or clear the surface of etch-inhibiting, nonvolatile residues

• Anisotropic or directional etching

12

Coburn and Winters, J. of App. Phys. 50. 3189-3196, 1979

Ion Bombardment effects in Reactive Ion Etching

Y. Zhang et. al., Applied Materials

Low electron temperature, Te, plasmas

• Intuitively, lower Te lower Vp lower ion energy lower damage

ALE(?)

• How to control low ion energy, e.g., from <4eV to ~25eV?

13

~1-2 layers

From Oliver Joubert, CNRS-LTM

~4 layers

0 1 2 3 4 50

10

20

30

40

50

SIC

Lth

ick (

A)

Cl+ Fluence (ML)

5eV

10eV

25eV

50eV

100eV

Radical Cl + Cl+ Radical Cl + 25eV Cl+

Radical Cl + 5eV Cl+

Y. Zhang et. al., Applied Materials

Low Te Plasma Etch System • A low Te plasma is produced in the processing chamber using energetic beam

electrons in the 0.5 – 2.5 keV energy range.

• A separate inductively coupled plasma (ICP) based radical source is used in our system to provide accurate control over relative concentrations of radicals and ions

• Another important element in this plasma processing system is low frequency RF bias capability which allows control of ion energy in the 2 – 50 eV range

14

e-beam source

Radical source

Bias (wafer voltage)

x

Y. Zhang et. al., Applied Materials

Ion / Radical Composition: RF and Low Te Plasmas

• In an RF plasma (with Te = 4.0 eV), significantly more electrons can

dissociate than ionize due to lower threshold for dissociation.

• In a low Te plasma produced using energetic electrons, radical / ion

fraction is much lower.

15

1 10 100 1000 0

2

4

6

Cro

ss-s

ecti

on (

Å2)

Energy (eV)

f e (

au)

1.0

0.8

0.6

0.0

0.2

0.4

sion

sdiss

fe @ Te = 4.0 eV

fe @ Te = 0.2 eV

Ebeam

1.2 Cl2

Y. Zhang et. al., Applied Materials

Low Te Plasma can etch Si layer-layer with minimal damage

• The top surface can be more quantitatively analyzed using electron energy loss

spectroscopy (EELS).

• The thickness of the amorphous layer at the top is similar for the unprocessed sample and

the sample which has been etched in the low Te plasma only.

• When RF bias is applied to increase Ei, the amorphous layer thickness increases.

• The sample that was etched in the inductively coupled plasma without bias shows similar

damage to the 0.8 W etch case.

16

Y. Zhang et. al., Applied Materials

These challenges demand new

film and etch/removal

capabilities – Selective Removal

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

18

What is Extreme Selectivity?

SelectraTM Removes Target Material without Damage to Others

Critical for Patterning and 3D Architectures

No Damage or

Residues Remaining

Multiple Material

Layers are Formed in

a Structure

Extreme Selectivity Enables

Removal of Only One

Material

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

Traditional Wet Etch

• Collapse of high aspect ratio

structures

• Inability to penetrate small

dimensions

Traditional Dry Etch

• Lacks extreme selectivity

• Insufficient lateral etch

control

New Etch Methods Required to Continue Scaling

Traditional Etch Technologies Unable to Advance Moore’s Law

19

Tight Features

0

20

40

60

80

100

10 15 20 25 30

Coll

apse

Per

centa

ge

(%)

Aspect Ratio

Pattern Collapse Lateral Control

Overetch

at Top

Insufficie

nt at

Bottom

Graph Courtesy of imec

Internal

Image

Internal Image Internal Image

Incomplete

Removal

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

• Plasma creates etchant

chemistry

• Ions are blocked, chemistry

passes through

• Damage-free, extreme

selectivity etch without

polymers

20

How Does SelectraTM Achieve Extreme Selectivity?

The SelectraTM System Creates Tailored Chemistry for Extreme Selectivity

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

21

Extreme Selectivity Enables ≤10nm Multi-Patterning

Post-

SelectraTM SiN

Ox

Ox

9.3n

m

Internal Image

Pre-

SelectraTM

Si

SiN

Ox

Ox

9.3n

m

Internal Image

No change

in spacer

width

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

22

Atomic-Level Precision Enables ≤10nm FinFET

SelectraTM Enables Fin Scaling and Penetration of Atomic-Level

Structures

Applied Materials Internal

Structures

0

2

4

6

8

10

Etc

h A

mo

un

t (Å

)

Silicon etch of two

atomic layers

Pre-SelectraTM Post-SelectraTM

Internal Image Internal Image

Ox

Si

Ox

Si

Si

TiN Ox

α-Si

Can access

spaces <5

silicon

atoms

across

TiN

Ox

Pre-SelectraTM Post-SelectraTM

Internal Image

Si

Internal Image

Y. Zhang et. al., Applied Materials Y. Zhang et. al., Applied Materials

23

Lateral Etch Uniformity Enables 3D NAND

SelectraTM Etch Creates Consistent Contact Resistance

Pre Etch SelectraTM Etch Traditional Etch

Y. Zhang et. al., Applied Materials

Closing Remark

• Advanced nodes pose challenges for patterning

– Patterning trend: Litho dominating Litho/Materials engineering dominating

– Recent EUV emerging will help Litho, e.g., complementary litho, but not likely change this trend

• These challenges demand new film and etch/removal capabilities

– CD/CDU/LER/LWR play increasingly critical role in scaling

– Etch/Removal and Film play increasingly critical role in EPE reduction

• More opportunities for Film and Etch/Removal but key challenges are to have atomic level precision

– Atomic Layer Deposition

– Atomic Layer Etch and Removal

• Low electron temperature plasma etch

• Highly selective radical based removal

24