Part 6 thin film depositoin

51
Fall 2008 EE 410/510: Microfabrication and Semiconductor Processes M W 12:45 PM – 2:20 PM EB 239 Engineering Bldg. Instructor: John D. Williams, Ph.D. Assistant Professor of Electrical and Computer Engineering Associate Director of the Nano and Micro Devices Center University of Alabama in Huntsville 406 Optics Building Huntsville, AL 35899 Phone: (256) 824-2898 Fax: (256) 824-2898 email: [email protected]

Transcript of Part 6 thin film depositoin

Page 1: Part 6  thin film depositoin

Fall 2008 EE 410/510:Microfabrication and Semiconductor Processes

M W 12:45 PM – 2:20 PMEB 239 Engineering Bldg.

Instructor: John D. Williams, Ph.D.Assistant Professor of Electrical and Computer Engineering

Associate Director of the Nano and Micro Devices CenterUniversity of Alabama in Huntsville

406 Optics BuildingHuntsville, AL 35899Phone: (256) 824-2898

Fax: (256) 824-2898email: [email protected]

Page 2: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville2

Basic Vacuum Science

• 1 atm = 101 kPa= 760 torr• Gass flow is measured in torr liters/ sec• Flow rate for a vacuum, Q, is determined the difference in pressure on each end of

the system times the conductance across that system• Conductance for a given tube diameter in a vacuum is

• Pumping speed of the system, Sp = Q/Pinlet. Pinlet = pressure at the pump inlet• Net speed of the vacuum chamber is

• Time required to pump the system from an initial pressure is (V= chamber volume)

Page 3: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville3

Vacuum pumps• Rotary-vane (roughing pumps) pull vacuums

from atm to 10-3 torr• Below 100 mtorr oil in the pump often leaks

back into the pump chamber. This is called backstreaming and is eliminated by placing a filter between the pump and the chamber.

• High vacuum pumps are required to operate chambers under vacuums lower than 10-3 torr (or vacuums of 10-2 torr for long time periods)

• High vacuums are generated by– Turbomolecular pumps

• Series of high speed fans that pull molecules through the spinning blades into a low vacuum regime

– Diffusion pumps– Cryo pumps– Base pressures of 10-7 torr, below which there

are not enough molecules to effectively pull from the vacuum

• Ultra high vacuum is obtained in high vacuum conditions by adding an ion pump that electrostatically captures ionized molecules in the gas

– Requires outgassing of the vacuum by baking at 350-400oC after high vacuum pumping

– Reaches base pressures of 10-11 torr

Mechanical pump

Turbomolecular pump

http://www.lesker.com/newweb/index.cfm Drawings from Caltech Thin Film Lab no. 3 lab description

Page 4: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville4

Vacuum pumps (Diffusion Pumps)Diffusion pump• Require rough vacuum to operate

• Heat oil which evaporates and is cooled along the height of the pump• Hot oil “grabs” molecules and is condensed by cooling back down

into a liquid near the heat source.• Oil is cooled by piping liquid nitrogen or cold water around the pump

http://www.lesker.com/newweb/index.cfm

Page 5: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville5

Vacuum Gauges

http://www.lesker.com/newweb/index.cfm

Page 6: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville6

High Vacuum System for Physical Vapor Deposition

• Vacuum Chamber (in this case a bell jar with a metallic safety shield around it)

• Valves

• Turbo pump

• Capacitive Gauge

• Mechanical Pump

• Question:  Can you spot the course vacuum gauge?

http://fie.engrng.pitt.edu/fie98/papers/1228b.pdf

Page 7: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville7

Thin Film Deposition• Physical Vapor Deposition (PVD)

– Films formed by physical process of atom transport to the substrate in the gas phase• Thermal evaporation• E‐beam evaporation• Sputtering

– DC, DC magnetron, RF• Molecular Beam Epitaxy (MBE)

• Chemical Vapor Deposition (CVD)– Chemically reacted materials at the substrate surface form thin film of product material

• Low‐Pressure CVD (LPVCD)• Plasma‐Enhanced CVD (PECVD)• Atmospheric‐Pressure CVD (APCVD)• Metal‐Organic CVD (MOCVD)• Laser Assisted CVD• Atomic Layer Deposition (ALD)

• Combination processes– Reactive Sputtering – material in gas phase reacts with oxygen, nitrogen, etc. to form oxide or nitride 

film– Electroplating – electrochemical reactions in the liquid or solid phase produce metallic or organo‐

metallic thin films on a surface 

Page 8: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville8

General Characteristics• Deposition Rate• Film Uniformity

– Across the substrate– Run to run

• Materials deposited by a particular method– Metal, dielectric, polymer

• Film Quality– Adhesion– Stress– Stoichiometry– Density– Grain size and orientation– Breakdown voltage (dielectrics)– Impurities

• Conformality (depends on technique and process)

Page 9: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville9

Thermal Evaporation

• Sufficient vacuum is pulled on a chamber such that the mean free path of atoms in the chamber is greater than the distance between the source and the target

• Mean free path, l, of a molecule in a gas is

• Source material is then heated until it evaporates from the surface

kb = boltzmans constantT= temperatureD = diameter of the gas moleculeP = pressure of the chamber

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf http://www.lesker.com/newweb/index.cfm

Page 10: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville10

E‐beam Evaporation• Same vacuum conditions as before• Electrons are emitted from a metallic tip at 10KeV• Their trajectory is bent using a strong magnetic field• Electrons are smashed into a crucible containing the source 

material • Constant flow of electrons into material heats it until 

evaporation takes place• Virtually unlimited supply of source material for a single 

deposition• Electron bombardment heats very effectively allowing 

deposition of very high temperature materials and dielectrics

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf http://www.lesker.com/newweb/index.cfm

Page 11: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville11

• Evaporation Rate, R, is

– Constant =1/(2πkb)1/2

– M = molecular weight of the evaporant– T = source temperature in Kelvin– Pv(T)= capor pressure of the evaporatant in torr

Evaporation Rate from Souce

( ) 2/1222

)()(coscos10*513.3

MTTP

rR vϕθ=

Of great importance is to note that this is a line of sight method. Deposition rate depends directly on the angle between the source and the target

Note: book uses F instead of R

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 12: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville12

• Deposition rate, dh/dt, is

– Ae = source surface area– ρ = evaporant density

Deposition Rate on Surface

( ) 2/1222

)()(coscos10*513.3

MTTPA

rFA

dtdh vee

ρϕθ

ρ==

Pe for Al at 900K is approx 10-10 torrWhich increases to roughly 0.5 at approx. 1500 K

Page 13: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville13

Deposition Uniformity Across the Substrate

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 14: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville14

Uniformity of Films as a Function of Distance From the Source

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 15: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville15

Comparing E‐beam and Thermal Evaporation

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 16: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville16

Sputter Deposition• Physical deposition occurs when a

plasma is struck between a target and a substrate in an inert gas such as (Ar)

• Average voltage placed across the chamber is between 300 and 1000 V

• Unlike Plasma etching, the cathode (positive electrode) is the target

• Substrate is the negative surface (anode) which receives deposited ions impinged on the surface

• Sputtering occurs at relatively high pressures where the mean free path is much smaller than distance between target and substrate

• Deposition rate is inversely proportional to both the path length and the pressure of the system

• Instead deposition is driven by the working voltage and the discharge current (or ionic flux) across the plasma

• Reactive Deposition occurs in the presence of O2 or N2 plasmas

Sputter Targets

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 17: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville17

Magnetron Sputtering

• Increases deposition rate by up to 100 times• Lower chamber pressure by up to 100 times• Magnetic field near cathode allow electrons to

hop near the surface increasing ion milling rate

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 18: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville18

RF Sputtering• Allows deposition of dielectrics• Ions cannot follow switching at 

frequencies greater than 1 MHz and are accelerated toward substrate

• Electrons follow voltage cycles maintaining potential matching at target and neutralizing positive charge buildup that would normally inhibit dielectric deposition

• Reduced voltage between electrodes may require higher fields to be used

http://www.mrsec.harvard.edu/education/ap298r2004/Erli%20chenFabrication%20II%20-%20Deposition-1.pdf

Page 19: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville19

Comparison of Evaporation and Sputter Deposition

Nonconformal, Line of sight process

Conformality Depends on Plasma Conditions

Page 20: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville20

Theoretical Models for Thin Film Growth

Page 21: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville21

Page 22: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville22

Thermal Oxidation and Nitration

H20

Page 23: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville23

Fick’s First Law of Diffusion

• Fick’s 1st law of diffusion: any material that is free to move will experience a net redistribution in attempt to eliminate any concentration gradient

– C = impurity concentration (mol/m3)

– D = diffusion coefficient (m2/s)

– J = net flux of material (mol/(m2*s)

– Flux moves from high to low concentrations (i.e. negative sign)

xtxCDJ

∂∂

−=),(

⎟⎟⎠

⎞⎜⎜⎝

⎛ −−=

TkEDTDB

Ao exp)(

Cp Co

Page 24: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville24

Diffusive Oxide Growth

• For oxide growth, flux is assigned in three regions, J1, J2, J3

xtxCDJ

∂∂

−=),(

SiO2 SiGas

Cg Cs Co Ci

)(1

1 2

sgggas

Bg

sl

sgO

CChJJTk

PgVnC

tCC

DJ

−==

==

−≈

Oxygen molecules diffuse from bulk to surface concentrations

Bulk gas concentration can be estimated using ideal gas law

Flux is estimated using mass transport coefficient, hg

Page 25: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville25

Diffusive Growth (2)• Assuming that there are no sources or 

sinks of oxygen at the surface, then the flux of oxygen within the growing oxide film is based simply on diffusion from the surface

– tox is the oxide surface thickness

• The third flux is due to the reaction rage of silicon with the oxygen concentration at the Si/SiO2 interface

– k3= chemical rate constant

• Finally, under equilibrium conditions, all of the individual fluxes must balance

321

33

2 2

JJJ

CkJ

tCCDJ

i

ox

ioO

==

=

−=≈

SiO2 SiGas

Cg Cs Co Ci

Page 26: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville26

• Accounting for these three fluxes provides two equations and three unknown concentrations, Cs, Co, Ci.  Solving to find the growth rate requires one more equation.

• Henry’s Law states that the concentration of an adsorbed species at the solid of a surface is proportional to the partial pressure of the gas just above the solid

– H = Henry’s gas constant

• Now we have 3 eqns. and 3 unknowns

Diffusive Growth (3)

2

1O

oxs

g

s

gi

Dtk

hkHP

C++

=

gBgo TCHkHPC ==

yielding,

SiO2 SiGas

Cg Cs Co Ci

Page 27: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville27

Oxide Growth Rate

• To obtain the growth rate, divide the flux, by the number of mol of O2 per unit volume SIO2:  N1

• For Oxidations by molecular oxygen,   N1 is half the number density of SiO2, or 2.2*1022 cm‐3

• Assuming that at time = zero, that the oxide thickness is to, the solution of the differential equation can be written as

)(2 τ+=+ tBAtt oxox

⎥⎥⎦

⎢⎢⎣

⎡++

===

2

111

O

oxs

g

s

gox

Dtk

hkN

HPdt

dtNJR

2)(4

/2

112

2

2

1

τ

τ

+++−=

+=

=

⎟⎟⎠

⎞⎜⎜⎝

⎛+=

tBAAt

BAtt

NDHPB

hkDA

ox

oo

g

gs

SiO2 SiGas

Cg Cs Co Ci

Page 28: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville28

More Detail• Most silicon oxidation is performed at 

atmospheric pressure. – ks<<hg

• Furthermore, growth rate is nearly independent of the gas phase mass transport (and therefore reactor geometry)

• Oxides are grown under both wet (H2O)and dry (O2) conditions using the same equations.  However the following changes must be accounted for:

– Diffusivity (D)– Mass transport (hg)– Reactivity (ks)– Pressure (Pg)– Number of molecules/unit volume (N)

• A and B both depend on Diffusivity and are therefore both Arrhenhius functions

• τ is the time required for  the initial oxide thickness prior to the current growth process. Thus, one must account for a growth time that includes τ in order to account for the nonlinear growth rate associated with prior oxidation when growing any thermal oxide layer.

SiO2 SiGas

Cg Cs Co Ci

2)(4

/2

112

2

2

1

τ

τ

+++−=

+=

=

⎟⎟⎠

⎞⎜⎜⎝

⎛+=

tBAAt

BAtt

NDHPB

hkDA

ox

oo

g

gs

Page 29: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville29

Wet Vs. Dry Oxidation• For sufficiently thin oxides, 

one can neglect the quadratic term in the differential equation for thickness, yielding

• For thick oxides, however the linear term can be neglected and

• Because of these two forms, B/A is called the linear rate coefficient

• B is called the parabolic rate coefficient

• It is these two terms that are commonly quoted for oxidation

)( τ+= tABtox

)(2 τ+= tBtox

Arrhenius plot for B(T) Arrhenius plot for B(T)/A(T)

Dry

Dry

Wet Wet

Page 30: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville30

Calculating Oxide Thickness

)(2 τ+=+ tBAtt oxox

ti=tox

Page 31: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville31

Oxide Thickness Chart

• Assuming no previous oxide on silicon surface prior to growth, τ=0.

• Using the chart to calculate growth of oxide assuming a prior layer

– Find initial thickness of oxide on chart at the desired process temperature.  Determine the oxidation time required for initial thickness and assign it the value τ.

– Determine process time required to oxidize the sample to the desired thickness.

– Subtract τ from time t to arrive at the optimal process time required.

Table from Wolf and Tauber, Silicon Processing for the VLSI Era vol. II

Page 32: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville32

Chemical Vapor Deposition

CVD Reactor

Substrate

Continuous film

8) By‐product removal

1) Mass transport of reactants

By‐products2) Film precursor 

reactions

3) Diffusion of gas molecules

4) Adsorption of precursors

5) Precursor diffusion into substrate

6) Surface reactions

7) Desorption of byproducts

Gas delivery

purge

Reference unknown at this time, Plumber Perhaps?

Page 33: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville33

CVD Growth• Deal‐Grove Model using Fick’s First Law

• Equilibrium solution involves two cases– Mass transfer to the surface from the gas

– Reaction kinetics at the surface

sSCKJ =2

xtxCDJ

∂∂

−=),(

)(1

1

sgggas

Bg

sl

sg

CChJJTk

PgVnC

tCC

DJ

−==

==

−≈

Mass transfer by diffusion Reaction Kinetics

gs

gs hk

CC

JJ

/1

21

+=

=

Equilibrium Condition• As the value of the surface concentration 

approaches Cg, hg>> ks and the deposition process is surface reaction controlled

• When the surface concentration approaches zero, then hg<<ks and the deposition is said to be mass flow controlled.

Page 34: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville34

CVD Growth• CVD Growth rate

• Concentration of the reactant in the gas phase is:

– Y is the mole fraction of the reaction species

– CT is the total number of molecules per cm3 in the gas

• Substitution yields

– Accurately predicting that the growth rate is proportional to the mole fraction of the reacting species in gas phase

– And that the growth rate for any constant reactant mole fraction, Y, is controlled by the mass flow of Y to the surface and the reaction rate kinetics on that surface

• For surface‐reaction rate controlled deposition:

• For mass transfer controlled deposition:

1NYC

hkhk

R T

gs

gs

+=

11/

NC

hkhk

NFR g

gs

gs

+==

YCC Tg =

1/ NYkCR sT=

1/ NYhCR gT=

Continuous gas flow

Deposited film     

Silicon substrate

Boundary layer

Diffusion of reactants

Reference unknown at this time, Plumber Perhaps?

Page 35: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville35

CVD Growth• Chemical reactions are thermally activated and thus can 

be represented using an Arrhenius type equation

• Mass transfer is relatively temperature insensitive and depends primarily on gas flow conditions

• At low temperatures growth follows the exponential law and the process is primarily dominated by reaction kinetics

• At high temperatures, the growth reaction occurs so fast that the system becomes governed by the amount of reactants that flow across the surface.  Under these conditions, mass flow dominates the deposition process and there is less control over the exact composition of the reactant species

• This model is oversimplified b/c it does not consider the flux of reaction products, but only the surface concentration.  More complicated models are required to examine individual reactant fluxes to generate variations on the growth material

⎟⎟⎠

⎞⎜⎜⎝

⎛ −=

TkEkkB

aos exp

gs hk >>

gs hk <<

Wolf and Tauber, Silicon Processing for the VLSI Era vol. II

Page 36: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville36

Flux Compensation in Mass Transport

LDD

h

LdUL

xL

dxxL

dUx

CCDJ

Lg

s

gg

Ls

L

ss

s

s

sg

2Re3

Re32

32

)(1

0

==

==

=

=

−=

δ

μδ

δδ

μδ

δFick’s First Law

Boundary Layerμ = viscosityd = gas densityU = free stream velocity

ReL = Reynold’s numberReL<2000 represents laminar flow

Mass transfer coefficient depends on diffusivity of the gas, the Reynold’s number, and the length of the flow

• Boundary layer theory

– Better calculation of hg– Better representation of events near a surface

Turbulent Flow causes convective rolls and changes in thickness along the x direction

Wolf and Tauber, Silicon Processing for the VLSI Era vol. II

Page 37: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville37

Crystallographic Growth Dependence

• The deposition rate can depend strongly on the crystallographic orientation of the substrate

• In GaAs, growth on (111) is 3x to 4x faster than on (100)• Growth dependence on orientation is amplified at high temperatures where 

epitaxial deposition becomes dominant• There are several reasons for this:

the densities and geometric arrangements of surface sitesthe number and nature of surface bondsthe chemical composition of the surface - GaAs (111A) vs.(111B)the presence of surface features such as steps, kinks, ledges, vacancies, etc

Page 38: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville38

Three Major Types of CVD• Atmospheric pressure chemical vapor deposition (APCVD)

– High deposition rate– low uniformity– Moderate film quality

• Low‐pressure chemical vapor deposition (LPCVD) – Low pressure (0.1 to 1 torr)– high film quality– moderate deposition rate– Low pressure operation removes many of the restrains of reactor design; problems with gas transport are 

minimized– Main problem is optimization of temperature profiles (usually resistance heating)

• Plasma‐enhanced chemical vapor deposition (PECVD)– Plasma Activation allows for deposition at low temperatures and pressures– Reactive gas species are formed by reactions in the plasma; since the electron temperature is ~100X the 

gas temperature, PECVD creates reactive species that normally occur only at high temperatures– High deposition rate– Low quality

• APCVD and LPCVD involve elevated temperatures ranging from 500 0C to 800 0C. These temperatures are too high for metals with low eutectic temperature with silicon, such as gold (380 0C) or aluminum (577 0C).

• PECVD has a part of their energy in the plasma; thus, lower substrate temperature is needed, typically on the order of 100 0C to 300 0C.

Page 39: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville39

Continuous‐Processing APCVD Reactors

WaferFilmReactant gas 2

Reactant gas 1

Inert separator gas

(a)  Gas‐injection type N2

Reactant gases

Heater

N2 N2 N2N2 N2

Wafer

(b)  Plenum type

Reference unknown at this time, Plumber Perhaps?

Page 40: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville40

• GaAs growth by CVD is often performed by chloride transport

• HCl reacts with Ga to form volatile GaCl, which is transported  to the substrate

• AsH3 thermally decomposes to from As4 and As2

• GaCl(g) + ¼As4(g) + ½H2(g) → GaAs(s) + HCl(g) is the simplest reaction, but others are possible

High temperature APCVD reactor for GaAs deposition

gas exhaust

Ga-sourceheater

substrateheater

HCl, H2

AsH3, H2

Ga source substrate

http://ecow.engr.wisc.edu/cgi-bin/get/msae/333/matyi/notes/30_cvd2_00.ppt#324,3,CVD reaction kinetics (5)

Page 41: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville41

LPCVD(Ex. TEOS Oxide Deposition)

Pressure controllerThree‐zone 

heater

Heater  TEOS

N2 O2 Vacuum pump

Gas flow controller

LPCVDFurnace

Temp. controller

Computer terminal operator interface

Furnace microcontroller

Exhaust

Reference unknown at this time, Plumber Perhaps?

Page 42: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville42

General Schematic of PECVD for Deposition of Oxides, Nitrides, Silicon Oxynitride or Tungsten

Process gases

Gas flow controller

Pressure controller

Roughingpump

Turbopump

Gas panel

RF generatorMatching network

Microcontroller operator Interface

Exhaust

Gas dispersion screen

Electrodes

Gate valve

Gas flow

Deposited film    

Silicon substrate

Reaction product

Diffusion of reactantsInside the

PECVD Chamber

Typical PECVD conditions:

Ar-gas at 100 mtorrRF bias 600 - 1500 volts250°C to 400°C (high temp.reactions can take place ontemperature sensitive materials

Reference unknown at this time, Plumber Perhaps?

Page 43: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville43

Safety issues in CVDSafety issues represent a major concern 

in CVD!• toxic gases → TLV (threshold limit value) per 8 hour day

– 0.3 ppm PH3

– 0.05 ppm AsH3 (500 ppm AsH3 lethal in < 2 minutes)

• flammable, corrosive, explosive, pyrophoric gases (SiH4)

• high pressure cylinders ‐‐ handling and transport; store in ventilated cabinets

• piping ‐‐ seamless tube (all welded), double wall tubing, purging and ventilation

• safety devices ‐‐monitoring

• exhaust systems ‐‐ dilution and scrubbing

Page 44: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville44

• Polysilicon (pyrolysis of silane): SiH4 → Si + 2H2

– gas: 100% SiH4 at 0.2 to 1 torr gives a growth rate 

of 10 nm/min but problems with gas phase

nucleation (sol.: dilute to 10% to 20% in H2 or N2)

– deposition temperature:

< 575°C  → amorphous

> 625°C  → columnar structure

700°C  → crystalline grains

>1100°C  → single crystal– doped polysilicon: B2H6, PH3, AsH3

Silicon CVD Processes

1420oC 1100oC 1000oC

0.80.6

Poly- Region

0.710-2

1

102

104

103/T (K-1)G

row

th R

ate

(μm

/min

)

MonocrystallineRegion

Bloem, J. Crsytal Growth, 50, 581 (1980).

Page 45: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville45

• Silicon Epitaxy

– 4 commercial methods: Silicon Tetrachloride (SiCl4), tricholorosilane (SiHCl3), dichlorosilane (SiH2Cl2), and silane (SiH4)

– All have particularly desirable deposition conditions.

– Silicon Tetrachloride was the most widely used and studied

SiCl4 + 2H2 → Si +4HCl– Demands for very thin silicon layers have moved processes toward SiH2Cl2, and SiH4

– Surface Reactions

SiCl4(ads)+H2(ads) SiHCl3(ads)+HCl(g)

SiHCl3(ads)+ H2(ads) SiH2Cl2(ads)+HCl(g)

SiH2Cl2(ads)+HCl(g) SiCl2(ads)+H2(ads)

SiHCl3(ads) SiCl2(ads)+HCl(g)

SiCl2(ads)+H2(ads) Si(s)+2HCl(g)

– SiCl4 1150‐1250oC  0.4‐1.5 μm/min  good selectivity

– SiHCl3 1100‐1200oC  0.4‐2.9 μm/min  easily reduced

– SiH2Cl2 1050‐1150oC  0.4‐3.0 μm/min  good epi. quality

– SiH4        950‐1050oC  0.2‐0.3 μm/min  (g) dep. problemsused for SOI

less out diffusion

heavy deposits on reactor walls

Silicon CVD Processes

1420oC 1100oC 1000oC

0.80.6

Poly- Region

0.710-2

1

102

104

103/T (K-1)G

row

th R

ate

(μm

/min

)

MonocrystallineRegion

Bloem, J. Crsytal Growth, 50, 581 (1980).

Page 46: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville46

Common CVD Processes for MEMS

J. Micromech. Microeng. 6 (1996) 1–13. http://iopscience.iop.org/0960-1317/6/1/001/pdf?ejredirect=.iopscience

Page 47: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville47

J. Micromech. Microeng. 6 (1996) 1–13. http://iopscience.iop.org/0960-1317/6/1/001/pdf?ejredirect=.iopscience

Page 48: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville48

Nitride Deposition:Comparing LPVCD and PECVD

Currently below 200 MPa using ICP

J. Micromech. Microeng. 6 (1996) 1–13. http://iopscience.iop.org/0960-1317/6/1/001/pdf?ejredirect=.iopscience

Page 49: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville49

Color Charts for Oxide and Nitride Deposits on Silicon

Use ellipsometer at known angles and wavelengths to determine film thickness by measurement of the polarization of the light reflected back to the sensorSimilar calculations can be performed using interferometry

Page 50: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville50

Conformality Issues in CVD

• Consider a typical reaction:

SiH4 + O2 → SiO2 + 2H2

• Important variables:

– SiH4/O2

– total pressure

– substrate temp.

– dilutent gas

– topography

N2, Ar, 300-500°C

long mean freepath, reduced

pressure

short mean freepath, 1 atm

SiH4+O2 withsurface diffusion

little surface diffusion

Page 51: Part 6  thin film depositoin

10/16/2009JDW, Electrical and Computer Engineering, 

UAHuntsville51

Semiconductor Process Example

Liner oxide

p Silicon substrate

p Epitaxial layer

n-well p-well

Trench CVD oxide

TEOS-O3

Trench fill by chemical vapor deposition

Nitride

-

+

LPCVD Oxide

LPCVD Nitride

APCVD