Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information +...

32

Transcript of Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information +...

Page 1: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.
Page 2: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Overview

Need for CMP Process

Detailed process steps Production: Relevant information

Dishing and Erosion Integration issues

Post-CMP Clean Review

Page 3: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Need for CMP

Where is CMP used? To planarize oxide, tungsten or copper

Why planarize? Oxide: need planar surface for photolithography Copper: no other way to remove excess copper and form the wires (shape) W: relatively easy method

Details: (next page)

Page 4: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Need for CMP

Oxide: (or any other insulator/ dielectric)

Consider the following example: Process steps for ‘tapping’ the ‘gate’ and ‘source/ drains’

(Source)P+ (Drain)P+

Gate(P+)

Page 5: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Need for CMP

1. Deposit oxide (insulator/dielectric)

(Source)P+ (Drain)P+

Gate(P+)

2. Coat photo resist and .... bring the mask to focus Focus on which plane?

Top Plane

Bottom plane

Page 6: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Need for CMP

Solution: Deposit oxide and then PLANARIZE it

(Source)P+ (Drain)P+

Gate(P+)

Planarization removes or decreases ‘topography’

It also removes some material from the ‘lower’ regions

Page 7: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Sample Image

TableQuill (Wafer Carrier)

Animation

Page 8: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Schematic

Page 9: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Background

Used to polish ‘bare wafer’ IBM pioneered Uses particles. Class 100 or Class 1000 clean room

Animation

Pad soft pad, hard pad, stacked pad hard pad with perforations, grooves

Quill surface tension, vacuum

Slurry abrasive, chemicals

Page 10: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Copper

Pad

Removal in these regions

No Removal in this region

• ==> non planar surface --> planar surface

Removal Mechanism

Page 11: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Pad

Pad is softer than wafer whether it is Cu or oxide or W

Pure chemical dissolution is rare A complexing or oxidizing film usually forms

Pure mechanical removal is possible, but not preferred Scratches

==> shorts Pad has asperities

helps in holding particles

Page 12: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Pad

Common pads from Rodel Inc soft pads: SUBA IV hard pads: IC1000 stacked pad: IC1400, IC1000/SUBA IV

Slurry Transportation soft pad doesn’t have grooves has ‘hair like’ surface hard pads have grooves/perforations

Page 13: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Pad

Grooves add mechanical flexibility Soft pad, tend to planarize less Tend to polish more Scratch less

Pad conditioning loss of asperities, roughness use diamond particle conditioner between polishes initial conditioning with few blank wafers

Sample conditioner surface©Rodel Inc

Page 14: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Consumable

Pad can be used for 150 polishes (for example) Polish rate and within wafer non uniformity will go worse (WIWNU)

PETEOS- Plasma Enhanced TEOS based oxide

Page 15: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Consumable

Mostly patented slurries (expensive) Oxide polish with KOH or Ammonia slurry

silica (and sometimes ceria) abrasives ceria has very high polish rate (considering its hardness)

Copper polish with acid based or neutral slurries Peroxide, glycine, BTA (benzo triazole) inhibitor silica or alumina abrasives

W polish with Ferric nitrate, peroxide, iodate slurries alumina abrasives

In general, 1 to 3 min polish, 100 to 300 ml slurry used

Page 16: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Consumable

Silica particles are small Removal rate is low lower scratches fumed or colloidal mono dispersed or poly dispersed surfactants (general)

Alumina harder, more removal, more likelyhood of scratches

ceria soft, some scratch, more removal (for silica polish)

Usually, removal rates in few hundred nm/min

Page 17: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Quill (Head) control

Pressure application is expected to be uniform on the wafer To ensure it, ‘back pressure’ is applied on the wafer

vacuum used mainly in ‘picking up’ the wafer from the load station and after polish

Page 18: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Removal Rate

Both pad and quill (wafer holder) rotating at the same rate Quill off-center Relative velocity at all the locations will be same

Practically quill will be ‘swept’ to the front and back (i.e. Edge and center of the pad) quill usually rotates at a slightly different rpm

based on experimental optimization Done to ensure uniformity

and to utilize maximum pad area

Page 19: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Removal Rate

First order approximation Preston Equation

pRR k PV

Correction for chemical dissolution

Kp Preston Constant, depends on slurry,pad, temp, material to be removed etc

For the same system, different materials will be removed at different rates

0 1 2RR K K V K PV

Page 20: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Removal Rate

Proposed Mechanisms

Fluid layer holds the pressure Model not able to explain observation good to explain within wafer non uniformity

Particles hold the wafer Increase in pressure increases number of particles in contact

and not the friction coefficient and not the indentation depth

Removal rate == # particles, indentation depth, shear length

Page 21: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Dishing Erosion Losses

Soft pad ==> more dishing. Erosion Dishing/Erosion ==> higher resistance, more variation in resistance Dishing/Erosion ==> DOF in the next level!

Page 22: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

Dishing Erosion Losses

eg. Copper polishLiner (barrier), oxide and copper ‘bulk’ removal sees only copper liner and oxide ‘touch up’ CMP needed to remove liner usually 2 step CMP

Selectivity too high a selectivity leads to drastic dishing/erosion controllable selectivity is the key sometimes 1:1:1 slurry used

Page 23: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Dummy, Slotting

Copper CMP: Very wide line ==> very high dishing Resistance increase too much Due to erosion, some lines may disappear!

Simple schematics below

Page 24: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Dummy, Slotting

Aerial Density important Max density and min density in a ‘window’ is specified

M1 layout

Window size depends on characteristic planarization length

function of material, slurry, pad can be mm for oxide, 100 um for Cu, W

Page 25: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Dummy, Slotting

OxideCu Cu

OxideCu CuCu Cu

Effective density of copper = 40% ( Oxide density = 60%)

Effective density of copper = 20% ( Oxide density = 80%)

Page 26: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Dummy, Slotting

Some areas may not have any metal Will cause non uniformity

“stretch” the process too much Use “dummy fill” to bring the density to minimum level

Some lines will be too wide slot them , for better manufacturability

These are examples are “design for manufacturability” or DFM

Both dummy and slotting are used in copper

Characterization with MIT / Sematech mask Set

Page 27: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Copper

Single step vs Multi step (cost of equipment, slurry) Barrier CMP Ta harder, less reactive Traces of barrier ==> short More mechanical removal ==> scratches ==> short More chemical removal ==> Cu loss Need to strike a balance

Page 28: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Oxide

Blank Oxide vs STI LOCOS vs STI LOCOS: semi recessed/ fully recessed Issues:

Dummy Fill / Reverse Active Mask Dishing Notch Stress Nitride Polish

Page 29: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: W

Well established Liner removal is easier (Ti/TiN)

lingering liner can be removed (for example) with plasma etch / RIE for a short time (touch up RIE)

Need to have seemless dep (to avoid corrosion issues) Good selectivity is achievable W plug is never large

==> dishing not an issue Erosion still an issue

not for resistance however for DOF

Page 30: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Clean

Particles to be removed

Brush Scrub Boundary Layer

Mega Sonic/ ultra sonic

Copper sometimes cleaned in dark!

Page 31: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: Clean

Page 32: Overview + Need for CMP + Process + Detailed process steps + Production: Relevant information + Dishing and Erosion + Integration issues + Post-CMP Clean.

CMP: New Nodes

Abrasive Free, Fixed abrasive, Micelle Electro polish