New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International...

31
© 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence

Transcript of New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International...

Page 1: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

© 2013 ASM

ASM International

Analyst and Investor Technology Seminar

Semicon West July 10 2013

New Materials as an enabler for Advanced Chip Manufacturing

Drive Innovation, Deliver Excellence

Page 2: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers

• Major Trends in Thin Film Deposition• The ALD Technology Platform as a Response

• FinFET related challenges and Metal ALD

• PEALD as a Low Temperature Enabler

• ASM Front-end Products and selected applications

• Summary and Conclusions

2

Page 3: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers

• Major Trends in Thin Film Deposition• The ALD Technology Platform as a Response

• FinFET related challenges and Metal ALD

• PEALD as a Low Temperature Enabler

• ASM Front-end Products and selected applications

• Summary and Conclusions

3

Page 4: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 3

Scaling will increasingly be enabled by New Materials and 3D Technologies

1995 2000 2005 2010 20151990 2025

Scaling enabled by Litho

Scaling enabled by Materials

Scaling enabled by 3D

2020

Low-k

Strained Si

High-k

FinFET

3D Memory

IEDM 2002

IEDM 2003

IEDM 2007

3D SIC

Chipworks 2012

Page 5: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Increasing Introduction Rate of New Materials

1960 1970 1980 1990 2000 2010

SOI

Porous SiOC

Cu

SiOF

SiGe

NiSi

Si(O)N

W

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

SOP

SiOC

AlO

Hf(Si)O

Ta/TaN

TaO

ZrO

LaO

SiC

Cu

SiOF

CoSi

Si(O)N

W

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

SOP

SiOC

Ta/TaN

TaO

TiSi

Si(O)N

WSi, PtSi

Ti/TiN

(B)PSG

Si,epi

SiO,N

Al-Cu

Si(O)N

WSi, MoSi

TiW

(B)PSG

Si,epi

SiO,N

Al-Cu

(B)PSG

Si,epi

SiO, SiN

Al-Cu

Si

SiO, SiN

Al-Cu

Starting Mat’l

FEOL

BEOL

5

Page 6: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

New Materials and Processes: Moore’s Law Enablers

Higher Capacitance, Lower Leakage

High-k and

Metal Gates

DRAM, RF,

decoupling

capacitors

Less Cross Talk, Faster Interconnect

(Porous)

Low-k Materials

Improved

Metals

Higher Mobility, Lower Resistance

Strain and new Channel Materials

New metal contacts

Smaller Feature Sizes

Sub-Rayleigh limit

patterning using

SDDP

6

Page 7: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

ALD enables new materials and 3D

• New materials and 3D applications require more precise and controlled thin film deposition

• Compared to conventional deposition techniques ALD offers superior:• Uniformity

• Conformality

• Interface control

• ASMI is a leading player in the ALD market• Developing ALD technology since 1999

• Strong IP position

• Number 1 in high-k gate and strong position in SDDP

• The ALD market offers strong growth opportunities: • High-k metal gate, FinFET

• Spacer defined double patterning

• Other emerging applications7

Page 8: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 8

What is Atomic Layer Deposition (ALD)?

Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge

Step 3: Reaction to Oxide/Nitride

with O2, H2O, NH3 co-reactant

Step 4: Purge

and repeat…

Page 9: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Key strengths of ALD relative to conventional deposition

9

Step Coverage

SEM’s Courtesy of Philips Research Labs

TiN

Uniformity

Max

Min

<1% 3σ

<0.7% M-m

29 nm SiO2

Interface Control

Atomically

engineered

interfaces to

optimize leakage

current, reliability

and work-functions

Composition Control

Excellent

composition

control for

ternary alloys

such as GST

and STO0 25 50 75 100

0

25

50

75

100 0

25

50

75

100

Te

Ge

Sb

V. Pore (2010)

Page 10: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

ASM’s unique Materials Development Capabilities

Product Development, Product Engineering, Product Marketing, Cooperative R&D Projects

Process Development

Process Integration 15 – 7 nm

Cooperative R&D Projects

ASM Belgium

ASM Europe ASM America ASM Japan ASMGK (Korea)

N+2,3

N+1,2

Pre-cursor Exploration

Process Feasibility

Basic Materials R&D

Cooperative R&D Projects

ASM Microchemistry (Fi)

N+≥3

10

Page 11: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers

• Major Trends in Thin Film Deposition• The ALD Technology Platform as a Response

• FinFET related challenges and Metal ALD

• PEALD as a Low Temperature Enabler

• ASM Front-end Products and selected applications

• Summary and Conclusions

11

Page 12: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Major Trends in Thin Film Depositionand ASM’s Vision for ALD

Thin Film Needs:

• New materials

• Thinner films

• Interface engineering

• 3D conformality

• Lower thermal budget

ALD is a whole new technology platform

for enabling new materials!

ALD ���� PEALD ���� …..

Integration Capabilities

• Subtractive and Damascene patterning

• Etching

• Gap fills

• Clustering

12

Page 13: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

FinFET Challenges:ALD enables Further Scaling in 3D

• Materials properties and channel length must be uniform over fin height

• Conformal coverage required

• ���� ALD technology has become critical for HK and MG layers

IMEC, 2011

13

Page 14: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 14

ASM Front-end ProductsALD

• Pulsar® XP

• ALD for high-k

• Cross-flow reactor

• Solid source delivery system

• EmerALD® XP

• ALD for metal gates

• Showerhead reactor Pulsar® XP

EmerALD® XP

Page 15: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 15

What is Plasma Enhanced Atomic Layer Deposition (PEALD)?

Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge

Step 3: Reaction to Oxide/Nitride

or metal with O,N,H Radicals

and repeat…

Plasma + Faster+ Lower temperatures+ More chemistries+ Denser films- More complex- Plasma may preclude

some applications

Page 16: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

PEALD as an enabler of Lower Temperature Budget

Low temperature deposition of SiO2

and Si3N4 opens up wide potential application space

16

LT SiO2 SiO2 SiN SiCN

Deposition

Temperature50 oC 260 oC 360 oC 360 oC

Application FEOL SDDP Gate spacerSDDP (LT)

Gate spacer

Low WER

Gate spacer

Page 17: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 17

New Materials enabling LithographySpacer Defined Double Patterning

Litho-formed Resist Pattern

Conformal SiO2

Anisotropic Etch

Pitch

Pitch/2

44nm

22nm

Depo of SiO2 at 50C

Resist

ASM, ALD conference 2008; SPIE

conference 2009

Left

Center

Right

Uniform

CD

’s:

Spacer

Thic

kness N

U <

1%

, 3

σ

Page 18: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

ASM Front-end ProductsPEALD and PECVD

RC1

RC2

RC4

RC3

RC5

RC6

RC7

RC8

18

• XP8

• High productivity single wafer tool for both PEALD and PECVD applications

• Accommodates up to 8 chambers for PEALD or PECVD

• PEALD and PECVD can be integrated on the same platform

Page 19: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers

• Major Trends in Thin Film Deposition• The ALD Technology Platform as a Response

• FinFET related challenges and Metal ALD

• PEALD as a Low Temperature Enabler

• ASM Front-end Products and selected applications

• Summary and Conclusions

19

Page 20: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 20

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices as well as for nMOS and pMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Low Cost of Ownership

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

Page 21: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 21

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices as well as for nMOS and pMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Low Cost of Ownership

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

Page 22: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

ASM Front-end Products

Advanced Epitaxy

• Enabling transistor performance

• Strained epitaxial films for planar & FinFET devices

• Excellent interface control for high quality film growth

• Integrated Pre-Clean module

• High productivity

• Platform with 4 Epi chambers

• Differentiated & patented high film growth processes

Intrepid™ XP

22

Page 23: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Epi layers for Power DevicesMulti-Layer Epi Technology

N+ Drain

1st layer Epi

PR mask

B implant B implant

N+ Drain

1st layer Epi

2nd layer Epi

N+ Drain

1st layer Epi

2nd layer Epi

3rd layer Epi

B implant B implant

PR mask

4th layer Epi

• Thick multi-layer epi needed for power management devices

• Number of epi layers depends upon the breakdown voltage required (Typical from 600 – 800V)

• Implemented in production by various companies

Multiple epi steps

23

ASM Product: Epsilon® 3200Epi for advanced power devices

Page 24: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 24

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices as well as for nMOS and pMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Low Cost of Ownership

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

Page 25: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Extendibility of ASM’s Low-k Solution

1.6

1.8

2

2.2

2.4

2.6

2.8

3

3.2

90~45nm 32nm 22nm 15nm 11nm 8nm

ILD

k-v

alu

e Aurora® low-k (k=2.6)

Aurora® ELK (2.3-2.5)

UV-assisted process k<2.0?

Aurora® ELK (2.0)

Po

re S

ea

l / R

esto

rati

on

Aurora® low-k (k=2.8~3.1)

25

Page 26: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 26

ASM Products – Front-end

Strong IP protected portfolio

ALD and PEALD

• ALD solution (Hafnium oxide)

• PEALD Low temp dielectrics

Market Requirements: 32nm→22nm →14nm and beyond

Process Application

Diffusion Furnace

• Unique “dual reactor dual boat” design

Epitaxy

• Epitaxial films for analog devices as well as for nMOS and pMOS

PECVD

• Extreme low-k films

• ALD key for High-k Metal Gate technology

• 3D FinFET requires more

conformal layers, strength of ALD

• SDDP-application of PE-ALD

• Smallest footprint per reactor

• Low Cost of Ownership

• Thick Epi layers for power devices

• Strained Epi films for CMOS

• Advanced intermetal dielectric film

ASM Relative Positioning

� #1 in the served ALD market

� Qualified by nearly all Logic and Foundry manufacturers

� Strengthening inroads with PEALD

� Leading IC manufacturers are customers

� ASM one of only two top vendors

� ASM one of only two top vendors in PE-CVD low-k

Page 27: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 27

A412 PLUS: Productivity and Innovation

24 hrs

Wafe

rs O

ut

in o

ne

Da

y

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

24 hrs

Wafe

rs O

ut

in o

ne

Da

y

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

04/11 0:00 04/12 0:00

LogisticsWHR Load/Unload

Processing (2.5 hr)

Tube A

Tube B

Tube A

Tube B

150

300

900

600

1200

1500

1800

2100

Innovation• New ALD and CVD processes• Example 1: AlN

• Example 2: very thin closed silicon, 3.5nm

AB

Productivity• One A412 PLUS = up to 80

kwpm (2.5 hr process, 95% available, 150 wafer boat)

• About 40% lower capex per m2 as competitors

• Dual boat/dual reactor system

Real time production data:

WiW NU:~0.25% 1σ

SiO2

Si

3.0

3.4

3.4

3.2 3.3

3.6

3.3

3.5

SiO2

Page 28: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 28

Wafer Fab Equipment Forecast

Share of 28nm, 22nm and 14nm of total Equipment spending increasing in 2013-2014

0.0

5.0

10.0

15.0

20.0

25.0

30.0

35.0

40.0

2011 2012 2013 2014 2015 2016

WF

E $

B

Wafer Fab Equipment Forecast by technology node≤14 nm

22 nm

32 nm

45 nm

65 nm

≥90nm

-4%

-18%

+15%+15%

-6%

+17%

Gartner June, 2013

Key customer ALD penetrations in 28, 22 and 14nm: market segments with high expected growth

Page 29: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers

• Major Trends in Thin Film Deposition• The ALD Technology Platform as a Response

• FinFET related challenges and Metal ALD

• PEALD as a Low Temperature Enabler

• ASM Front-end Products and selected applications

• Summary and Conclusions

29

Page 30: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

Front-End Operations

© 2013 ASM 3030

Summary and Conclusions

• Scaling is increasingly enabled by new materials and 3D technologies

• ALD enables new materials and 3D

• The ALD market offers strong growth opportunities

• Adoption of more ALD and PEALD applications in HVM continues• ASMI #1 position in ALD for High-k gate

• 3D FinFET’s drive adoption of ALD, not only for the dielectric, but also for metals

• Strengthening inroads with PEALD on XP8, high productivity system for PEALD and PECVD applications

• Intrepid® XP, system with 4 Epi reactors, targeting strained Epi layers for CMOS

• ASM’s Vertical Furnace is providing low CoO and footprint per reactor

Page 31: New Materials as an enabler for Advanced Chip Manufacturing · © 2013 ASM ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013 New Materials as an

© 2013 ASM

Drive Innovation, Deliver Excellence