New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New...

19
© 2013 ASM EXANE BNP Paribas Tech Expert Access Event London June 27, 2013 New Materials and Processes for Advanced Chip Manufacturing Bob Hollands Director Technical Marketing

Transcript of New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New...

Page 1: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

© 2013 ASM

EXANE BNP Paribas Tech Expert Access Event LondonJune 27, 2013

New Materials and Processes for Advanced Chip Manufacturing

Bob HollandsDirector Technical Marketing

Page 2: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers• Major Trends in Thin Film Deposition

• The ALD Technology Platform as a Response• FinFET related challenges and Metal ALD• PEALD as a Low Temperature Enabler

• Summary and Conclusions

2

Page 3: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM 3

Scaling will increasingly be enabled by New Materials and 3D Technologies

1995 2000 2005 2010 20151990 2025

Scaling enabled by Litho

Scaling enabled by Materials

Scaling enabled by 3D

2020

Low-k

Strained Si

High-k

FinFET

3D Memory

IEDM 2002

IEDM 2003

IEDM 2007

3D SIC

Chipworks 2012

Page 4: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

Increasing Introduction Rate of New Materials

1960 1970 1980 1990 2000 2010

SOIPorous SiOC

CuSiOF

SiGe

NiSiSi(O)N

WTi/TiN

(B)PSG

Si,epiSiO,NAl-Cu

SOPSiOC

AlOHf(Si)O

Ta/TaN

TaO

ZrOLaOSiC

CuSiOFCoSi

Si(O)N

WTi/TiN

(B)PSG

Si,epiSiO,NAl-Cu

SOPSiOC

Ta/TaN

TaO

TiSiSi(O)N

WSi, PtSiTi/TiN

(B)PSG

Si,epiSiO,NAl-Cu

Si(O)N

WSi, MoSiTiW

(B)PSG

Si,epiSiO,NAl-Cu

(B)PSG

Si,epiSiO, SiNAl-Cu

SiSiO, SiNAl-Cu

Starting Mat’lFEOLBEOL

4

Page 5: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

New Materials and Processes: Moore’s Law Enablers

Higher Capacitance, Lower Leakage

High-k and Metal Gates

DRAM, RF, decoupling capacitors

Less Cross Talk, Faster Interconnect

(Porous) Low-k Materials

Improved Metals

Higher Mobility, Lower Resistance

Strain and new Channel MaterialsNew metal contacts

Smaller Feature Sizes

Sub-Rayleigh limit patterning using SDDP

5

Page 6: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

ALD enables new materials and 3D

• New materials and 3D applications require more precise and controlled thin film deposition

• Compared to conventional deposition techniques ALD offers superior:• Conformality• Step coverage • Interface control

• The ALD market offers strong growth opportunities: • High-k metal gate, FinFET• Spacer defined double patterning• Other emerging applications

• ASMI is a leading player in the ALD market• Developing ALD technology since 1999• Strong IP position • Number 1 in high-k gate and strong position in SDDP

6

Page 7: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM 7

What is Atomic Layer Deposition (ALD)?

Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge

Step 3: Reaction to Oxide/Nitridewith O2, H2O, NH3 co-reactant

Step 4: Purge

and repeat…

Page 8: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

Key strengths of ALD relative to conventional deposition

8

Step Coverage

SEM’s Courtesy of Philips Research Labs

TiN

Uniformity

Max

Min

<1% 3σ<0.7% M-m

29 nm SiO2

Interface Control

Atomically engineered interfaces to optimize leakage current, reliability and work-functions

Composition Control

Excellent composition control for ternary alloys such as GST and STO

0 25 50 75 100

0

25

50

75

100 0

25

50

75

100

Te

Ge

SbV. Pore (2010)

Page 9: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

ASM’s unique Materials Development Capabilities

Product Development, Product Engineering, Product Marketing, Cooperative R&D Projects

Process Development Process Integration 15 – 7 nm

Cooperative R&D Projects

ASM Belgium

ASM Europe ASM America ASM Japan ASMGK (Korea)

N+2,3

N+1,2

Pre-cursor Exploration Process Feasibility

Basic Materials R&DCooperative R&D Projects

ASM Microchemistry (Fi)

N+≥3

9

Page 10: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers• Major Trends in Thin Film Deposition

• The ALD Technology Platform as a Response• FinFET related challenges and Metal ALD• PEALD as a Low Temperature Enabler

• Summary and Conclusions

10

Page 11: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

Major Trends in Thin Film Depositionand ASM’s Vision for ALD

Thin Film Needs:• New materials• Thinner films• Interface engineering• 3D conformality• Lower thermal budget

ALD is a whole new technology platform for enabling new materials!

ALD PEALD …..

Integration Capabilities• Subtractive and

Damascene patterning• Etching • Gap fills• Clustering

11

Page 12: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

FinFET Challenges:ALD enables Further Scaling in 3D

• Materials properties and channel length must be uniform over fin height

• Conformal coverage required• ALD technology has become critical for HK and MG layers

IMEC, 2011

12

Page 13: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM 13

ASM Front-end Products ALD

• Pulsar® XP • ALD for high-k• Cross-flow reactor• Solid source delivery system

• EmerALD® XP • ALD for metal gates• Showerhead reactor Pulsar® XP

EmerALD® XP

Page 14: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

PEALD as an enabler of Lower Temperature Budget

Low temperature deposition of SiO2and Si3N4 opens up wide potential application space

14

LT SiO2 SiO2 SiN SiCNDeposition

Temperature50 oC 260 oC 360 oC 360 oC

Application FEOL SDDP Gate spacerSDDP (LT)

Gate spacerLow WER

Gate spacer

Page 15: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM 15

New Materials enabling LithographySpacer Defined Double Patterning

Litho-formed Resist Pattern

Conformal SiO2

Anisotropic Etch

Pitch

Pitch/2

44nm

22nm

Depo of SiO2 at 50C

Resist

ASM, ALD conference 2008; SPIE conference 2009

Left

Center

Right

Uni

form

CD

’s:

Spa

cer T

hick

ness

NU

<1%

, 3σ

Page 16: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

ASM Front-end ProductsPEALD and PECVD

RC1

RC2

RC4

RC3

RC5

RC6

RC7

RC8

16

• XP8• High productivity single wafer tool for

both PEALD and PECVD applications• Accommodates up to 8 chambers for

PEALD or PECVD• PEALD and PECVD can be integrated

on the same platform

Page 17: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM

Outline

• New Materials: Moore’s Law Enablers• Major Trends in Thin Film Deposition

• The ALD Technology Platform as a Response• FinFET related challenges and Metal ALD• PEALD as a Low Temperature Enabler

• Summary and Conclusions

17

Page 18: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

Front-End Operations

© 2013 ASM 1818

Summary

• Scaling is increasingly enabled by new materials and 3D technologies

• ALD enables new materials and 3D • The ALD market offers strong growth opportunities • Adoption of more ALD and PEALD applications in HVM

continues• ASMI #1 position in ALD for High-k gate• 3D FinFET’s drive adoption of ALD, not only for the dielectric, but also for

metals• Strong inroads into patterning applications with PEALD

• XP8, high productivity system for PEALD applications

Drive Innovation, Deliver Excellence

Page 19: New Materials and Processes for Advanced Chip ManufacturingStrain and new Channel Materials New metal contacts Smaller Feature Sizes Sub-Rayleigh limit patterning using ... such as

© 2013 ASM

Drive Innovation, Deliver Excellence