[Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent...

28
Curriculum Vitae Name : Yehea I. Ismail, Fellow IEEE Distinguished lecturer of IEEE CASS Editor-in-Chief IEEE Transactions on VLSI, Systems, 2011-2015 Email: [email protected] , [email protected] Office: 2075 Science and Engineering Building, AUC B2, Zewail City of Science and Technology Work Phone: +202-2615-3845 Education April 2000 Ph.D. degree from the University of Rochester. Research focus is on on-chip inductance in high speed integrated circuits. May. 1998 Masters degree, University of Rochester, Department of Electrical and Computer Engineering. GPA is 4.0. Focus is on VLSI circuit design. May 1996 Masters degree in Electronics, Cairo University, Faculty of Engineering, Electronics and Communications Department. Masters thesis: “Design of Stray-Insensitive Switched-Capacitor Filters.” Total grade: Distinction with Honors. June 1993 Bachelor of Engineering, Cairo University, Faculty of Engineering, Department of Electronics and Communications. Total grade: Distinction with Honors. Positions, Training, and Experience April 2012 Present Director of the Nano-Electronics and Devices Center at AUC and Zewail City. Professor at the AUC. 2005 - Present Chair of the IEEE VLSI Technical Committee 2005- present 2006 - Present Editorial board of the Journal of Circuits, Systems, and Computers. 2015 General chair “International Conference on Energy Aware Computing Systems & Applications” 2015 Technical Program chair “International Conference on Electronics, Circuits, and Systems” 2011 - 2015 Editor in Chief of the Transactions on Very Large Scale Integration (VLSI) Systems

Transcript of [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent...

Page 1: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

Curriculum VitaeName : Yehea I. Ismail, Fellow IEEE

Distinguished lecturer of IEEE CASSEditor-in-Chief IEEE Transactions on VLSI, Systems, 2011-2015

Email: [email protected], [email protected]

Office: 2075 Science and Engineering Building, AUCB2, Zewail City of Science and Technology

Work Phone: +202-2615-3845

Education

April 2000 Ph.D. degree from the University of Rochester. Research focus is on on-chip inductance in high speed integrated circuits.

May. 1998 Masters degree, University of Rochester, Department of Electrical and Computer Engineering. GPA is 4.0. Focus is on VLSI circuit design.

May 1996 Masters degree in Electronics, Cairo University, Faculty of Engineering, Electronics and Communications Department. Masters thesis: “Design of Stray-Insensitive Switched-Capacitor Filters.” Total grade: Distinction with Honors.

June 1993 Bachelor of Engineering, Cairo University, Faculty of Engineering, Department of Electronics and Communications. Total grade: Distinction with Honors.

Positions, Training, and Experience April 2012 – Present Director of the Nano-Electronics and Devices Center at AUC and Zewail City. Professor at the

AUC.

2005 - Present Chair of the IEEE VLSI Technical Committee 2005- present

2006 - Present Editorial board of the Journal of Circuits, Systems, and Computers.

2015 General chair “International Conference on Energy Aware Computing Systems & Applications”

2015 Technical Program chair “International Conference on Electronics, Circuits, and Systems”

2011 - 2015 Editor in Chief of the Transactions on Very Large Scale Integration (VLSI) Systems

June 2008 – August 2011 Director of the Nano-Electronics Integrated Systems Center at Northwestern University and Nile University.

July 2000 – April 2012 Faculty, Department of Electrical and Computer Engineering, Northwestern University

July 1997 – Oct. 1999, IBM Microelectronics, East Fishkill, NY. Position as a CAD development engineer. Gained experience in IBM EDA design tools. Developed research on the interaction between CMOS gates (0.25 and 0.18 m IBM CMOS technology) and interconnect including on-chip inductance effects.

Patent Disclosures1. Y. I. Ismail, E. G. Friedman, and J. L. Neves “Driving Inductive Interconnect Using Cascaded Buffers” IBM

Microelectronics, August, 1999.2. Y. Ismail and E. G. Friedman, "Model for Simulating Tree Structured VLSI Interconnect," United States Patent, No.

6,460,165, October 1, 2002. 3. Y. I. Ismail, “Efficient Model Order Reduction Via Multipoint Moment Matching”, Northwestern University,

Unites States Patent, No. 6,789,237, September 7, 2004.4. M. Ghoneima, M. Khellah, J. Tschanz, Y. Ye, Y. Ismail, V. De, “Single-Stage and Multi-Stage Low Power

Interconnect Architectures”, Unites States Patent, No. 6,992,603, Intel Corporation, January 31, 2006.5. M. Ghoneima, M. Khellah, J. Tschanz, Y. Ye, Y. Ismail, V. De, “Single-Stage and Multi-Stage Low Power

Interconnect Architectures”, Unites States Patent, No. 7,190,286, Intel Corporation, March 13, 2007.6. M. Ghoneima, M. Khellah, J. Tschanz, Y. Ye, Y. Ismail, V. De, “Achieving Low MCF by Tapering Segment Width In

Opposite directions for Adjacent Bus Lines,” US Patent Pending, Intel Corporation, 2003.

Page 2: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

7. Y. Ismail, G. Memik, J. Ku, S. Ozdemir, “Thermal Management of On-Chip Caches Through Power Density Minimization,” Northwestern University, (patent pending).

8. Nikhil Rangaraju; Bruce W. Wessels; Yehea I. Ismail; Joseph Shimon Friedman, “ Logic Cells Based on Spin Diode and Applications of Same NU IP Track Code” U.S. Patent No. 8,912,821, December 16, 2014

9. Yehea Ismail and Farrukh Khan, “Stocked Product Sensing System,” US 83218304, Feb, 201310. Diaa Khalil, Yehea Ismail, and Hai Zhou, “System and methods for dynamic power estimation for a digital circuit,”

US8359173, April 201311. Khaled El-Kinawi and Yehea Ismail, An Algorithm to Eliminate Multiple Input Switching, provisional no.

61/93466912. Abdallah Amgad and Yehea Ismail, “Multilevel Multistate Voltage Regulator,” International Application number

(PCT/US2015/015696) 13. Hassan Moustafa and Yehea Ismail, “LINEAR AND PROCESS VARIATIONS INSENSITIVE VOLTAGE-TO-TIME

CONVERTER CIRCUIT FOR TIME-BASED ANALOG-TO-DIGITAL CONVERTERS,” to be filed as a US patent14. Yehea Ismail, Hossam Mekawy, Moaataz Mahmoud, and Tarek Nadi “An Ultrasonic and Electromagnetic

positioning system”, provisional no. 61/91022415. Hassan Mostafa, Tawfik Ismail, and Yehea Ismail, Optical On-Chip Network Field Programmable Gate Array

(OOCN-FPGA), 201516. Khaled Salah and Yehea Ismail, “THROUGH-SILICON-VIA BASED NEAR FIELD COMMUNICATION SYSTEM,” to be

filed as a US patent17. Eslam Yahya, Yehea Ismail, and Alaa Elrouby, “Library Based Macro Modeling Methodology for Through Silicon

Via (TSV) Arrays,” to be filed as a US patent 18. Yehea Ismail, and Hamdy Abdel-Hamid “A new Manufacturable Structure for high density thermoelectric

Coolers,” to be filed as a US patent 19. Mohamed A. Swillam, Rehab Kotb, and Yehea Ismail, Chromium Silicide (CrSi2) as a plasmonic material in the

UltraViolet region, to be filed as a US patent20. Shady Agwa, Eslam Yahya, Yehea Ismail “Error Recovery System Using TAP2 for Dynamic Voltage Scaling”, to be

submitted.21. Y. Ismail and Hamdy Abd Elhamid, “Gradient-to- grdadient (gtg) Thermoelectric device”, US patent, pending.

Patents in Preparation1- Yehea Ismail, Ezz Eldin Omar, Sally Safwat, and Maged Ghoneima, “An all Digital Serial Link for On-Chip and

Chip-to-Chip Communications Using a New Tri-level Coding Scheme”2- Mohamed Wahba, Yehea Ismail, and Amr Wassal, “Low Power Charge Recycling Clocking Circuits for

Arbitrary Waveform Generation”3- Yehea Ismail and Loai Galal, “High Efficiency Switched Capacitor DC-DC Converters using Adiabatic

Concepts”4- Loai Galal and Yehea Ismail, “A New High-Performance, High Resolution Regenerative Comparator ”5- Loai Galal and Yehea Ismail, “A New Hysteretic Control Scheme for Interleaved Switched Capacitor DC-DC

Converters Reducing the Maximum Operating Frequency”6- Mina Raymond, Maged Ghoneima, and Yehea Ismail, “On-Chip Calibration/Adaptation Circuit and Scheme to

Arbitrarily Place Designs into any Process Corner ”7- Mohamed Khaled, Karim Rauf, Alaa Elrouby, and Yehea Ismail, “Compact Models for Through Silicon Vias ” 8- Yehea Ismail and Abdelmohsen Ali, “A New Fast Simulation and Optimization Tool and Algorithm for

Switched Capacitor Voltage Regulators”

PublicationsBooks1. Y. I. Ismail and E. G. Friedman “On-Chip Inductance in High Speed Integrated Circuits”, Kluwer Academic

Publishers, 2001.2. Y. I. Ismail, Designing Large Global Nets, Chapter nine of the Edited Book: Chuck Alpert, Dinesh Mehta, and

Sachin Sapatnekar, “Handbook on Algorithms for VLSI Physical Design”, CRC press, 2007.3. J. Ku and Y. Ismail, Chapter ten of the Book: Kevin Skadron and Mircea R. Stan, “Temperature-Aware Computer

Architecture”, Elsevier/Morgan Kaufmann, 2007.4. Ayman Eltaliawy, Hassan Mostafa, and Yehea Ismail, “Circuit Design Techniques for Microscale Energy Harvesting

Systems”, Saarbrücken, Germany, LAP LAMBERT Academic Publishing, In press. (ISBN: 978-3-659-62384-4), October 2014.

5. Eslam Yahya, Yehea Ismail "Hardware security issues and vulnerabilities”. Accepted book chapter, to appear in: IET book “Computational Methods in Information Security”

6. Khaled Mohamed, Alaa Elrouby, and Yehea Ismail, “Arbitrary Modeling of TSVs for 3D Integrated Circuits”, Springer, (ISBN: 978-3-319-07611-9), 2014

Page 2

Page 3: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

7. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, and Yehea Ismail, “A Programmable Receiver Front-End for Multi-Band Multi-Standard Applications”, Saarbrücken, Germany, LAP LAMBERT Academic Publishing, In press. (ISBN: 978-3-659-75556-9)

8. Yehya H. Ghallab and Yehea Ismail" CMOS Circuits and Systems for Lab-on-a-Chip Applications", Lab-on-a-chip Book, InTech Publisher, 2016.

Refereed Journal Publications1. Mohamed Y. Elsayed , Abdelaziz M. Gouda , Yehea Ismail, Mohamed A. Swillam "Silicon-based SERS substrates

fabricated by Electroless etching", Journal of Lightwave Technology ( Volume: PP, Issue: 99 ), Page(s): 1 – 1, 23 May 2017

2. A. Sadek, H. Mostafa, A. Nassar, and Y. Ismail, "Towards the Implementation of Multi-band Multi-standard Software Defined Radio using Dynamic Partial Reconfiguration", International Journal of Communication Systems, In Press, April 2017.

3. Hassan Mostafa, Mohamed Shehata, Yehea Ismail, “Closed Form Expressions and Bounds for The Signal to Noise Ratio in IR-UWBoF Systems”, IEEE Photonics Technology Letters, Volume: 29 Issue: 6, On page(s): 507-510, Impact factor 2, January 2017, Published as a Preprint, March 15, 2017.

4. Yehea Ismail, Hosam Mekawey, Rania Gamal and Mohamed A. Swillam, “Potential of slot waveguides for silicon-based optical interconnects”, Published 15 March 2017, SPIE Newsroom, Digital Library DOI: 10.1117/2.1201611.006750

5. Mohammed Eldeeb, Hassan Elghitani, Yehya Ghallab, Yehea Ismail Manuscript ID TCAS-II-01195-2016, “0.4V Miniature CMOS Current Mode Instrumentation Amplifier for Wearable/Implantable Bioelectronics”, IEEE Transactions on Circuits and Systems II, reviewed for publication, Feb. 2017

6. Ahmed El-Badawy, Marwa Amer, Reda Abdelbaset, Sameh N.Sherif, Marwan Abo-Elela, Yehya H.Ghallab, Hamdy Abdelhamid, Yehea Ismail, Nagwa El-Badri, “Adipose Stem Cells Display Higher Regenerative Capacities and More Adaptable Electro-Kinetic Properties Compared to Bone Marrow-Derived Mesenchymal Stromal Cells”, Springer Nature Research Journal, November 2016.

7. Omnia Samy, Hamdy Abdelhamid, Yehea Ismail, Abdelhalim Zekry, “A 2D compact model for lightly doped DG MOSFETs (P-DGFETs) including negative bias temperature instability (NBTI) and short channel effects (SCEs) ”, Microelectronics Reliability Journal, November 2016.

8. AM Gouda, MY Elsayed, AE Khalifa, Y Ismail, MA Swillam, “Lithography-free Wide-Angle Antireflective Self-cleaning Silicon Nanocones, Author: Mohamed Swillam; The American university in Cairo

9. Co-author on the manuscript "Adipose Stem Cells Display Higher Regenerative Capacities and More Adaptable Electro-Kinetic Properties Compared to Bone Marrow-Derived Mesenchymal Stromal Cells" (reference number: SREP-16-15549-T)

10. Manuscript Submitted - TCAS-I-00320-2016, “A 93% Efficiency Fully-Integrated Multilevel Hybrid DC-DC Converter in 65nm CMOS”, IEEE Transactions on Circuits and Systems I: Regular Papers for possible publication.

11. H Mostafa, and Y Ismail, "Process Variation Aware Design of Multi-Valued Spintronic Memristor-Based Memory Arrays", IEEE Transactions on Semiconductor Manufacturing (TSM), In Press.

12. H. Mostafa, and Y. Ismail, “Statistical Yield Improvement Under Process Variations of Multi-Valued Memristor-Based Memories”, Elsevier Microelectronics Journal, vol. 51, pp. 46-57, 2016, Published.

13. Ali Kourani, Emad Hegazi, Yehea Ismail "Electronic Frequency Compensation of AlN-on-Si MEMS Reference Oscillators", Microelectronics Journal, 2016, Accepted and to be published.

14. S. Agwa, E. Yahya, Y. Ismail, “ERSUT: A Self-Healing Architecture for Mitigating PVT Variations without Pipeline Flushing”, IEEE Transactions on Circuits and Systems (TCASII), 2016, Accepted and to be published.

15. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail, “On the use of a programmable front-end for multi-band/multi-standard applications”, Microelectronics Journal, March 2016.

16. Wameedh Nazar Flayyih, Khairulmizam Samsudin, Shaiful Jahari Hashim, Yehea I Ismail, Fakhrul Zaman Rokhani, “Adaptive multibit crosstalk-aware error control coding scheme for on-chip communication”, IEEE Transactions on Circuits and Systems II: Express Briefs, February 2016

17. H. Mostafa, and Y. Ismail, “Statistical Yield Improvement Under Process Variations of Multi-Valued Memristor-Based Memories”, MEJ-D-15-00523R1, Microelectronics Journal, January 6th 2016.

18. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail, “On the use of a programmable front-end for multi-bandlmulti-standard applications”, Microelectronics Journal, Volume 49, Pages 1-9, December 2015.

19. R Gamal, SA Shafaay, Y Ismail, MA Swillam, “Nano scale confinement using dielectric waveguides at the mid-infrared region, Photonics West Journal, 2015

20. Rania Gamal, Yehea Ismail, Mohamed A Swillam, “Silicon Waveguides at the Mid-Infrared”, Journal of Lightwave Technology, Volume 33, Pages 3207-3214, 2015

21. Ahmed T. Elthakeb, Hamdy Abd Elhamid, Yehea Ismail: Scaling of TG-FinFETs: 3-D Monte Carlo Simulations in the Ballistic and Quasi-Ballistic Regimes. IEEE Transactions on Electron Devices 04/2015; 62(6):1796. DOI:10.1109/TED.2015.2420580

22. Ghallab, Y.H.; Abd El-Hamid, H.; Ismail, Y., "Lab on a Chip Based on CMOS Technology: System Architectures, Microfluidic Packaging, and Challenges," in Design & Test, IEEE , vol.32, no.6, pp.20-31, Dec. 2015 doi: 10.1109/MDAT.2015.2491785

23. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail, "On The Use of a Programmable Front-End for Multi-band/Multistandard Applications , MIcroelectronics Journal, 2015.

24. Ali kourani, Emad Hegazi, Yehea Ismail. "A 76.8 MHz Temperature Compensated MEMS Reference Oscillator for Wireless Handsets", Microelectronics Journal, vol.46, no.6, pp.496-505, June 2015

Page 3

Page 4: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

25. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail, “A tunable multi-band/multi-standard receiver front-end supporting LTE”, 2015 IEEE International Symposium on Circuits and Systems (ISCAS), May 2015

26. Gamal, Rania; Ismail, Yehea; Swillam, Mohamed "Optical Biosensor Based on a Silicon Nanowire Ridge Waveguide for Lab on Chip Applications" Article reference: JOPT-101080.R1

27. Kareem Ahmed, Eslam Yahya, Yehea Ismail "Library Based Macro-Modeling Methodology for Through Silicon Via (TSV) Arbitrary Arrays" Accepted for publication in the Microelectronics Journal, 2015.

28. Hassan Mostafa and Yehea Ismail, “A Design-Oriented Timing Jitter/Skew Model in Voltage-to-Time Converter (VTC) Circuits”, Analog Integrated Circuits and Signal Processing, SPRINGER, vol. 82, issue 1, pp. 309-321, 2015.

29. Mekawey, Hosam; Ismail, Yehea; Swillam, Mohamed, “Dispersion analysis and Engineering in 2D plasmonic waveguides" 2015 J. Opt. 17 015003doi:10.1088/2040-8978/17/1/015003

30. Y. Ghallab, H. Mostafa, and Y. Ismail, "A New Current Mode Implementation of a Balanced-Output-Signal Generator", Analog Integrated Circuits and Signal Processing, SPRINGER, vol. 81, issue 3, pp. 751-762 , 2014.

31. Tarek Ramadan, Yehea Ismail, Mohamed Eldessouky, Eslam Yahya, “Coupling Capacitance in Through-Silicon Vias (TSVs): Non Homogeneous Medium Effect”, IET Research Journal

32. A. Eltaliawy, H. Mostafa, and Y. Ismail, "Micro-scale Variation-Tolerant Exponential Maximum Power Tracking System for Self-Powered Wireless Sensor Networks", Elsevier Microelectronics Journal, vol. 46, pp. 221-230, 2015.

33. Yehya H. Ghallab and Yehea Ismail” CMOS Based Lab-on-a-chip: Applications, Challenges and Future Trends”, published at IEEE Circuit and Systems Magazine, pp. 27-47, Q2 issue, June 2014.

34. Rehab Kotb, Yehea Ismail, and Mohamed A. Swillam, "Integrated coupled multi-stage plasmonic resonator for on-chip sensing ", SPIE 9126, Nanophotonics V, 91263M (May 2014)

35. Hamdy Abd El Hamid, Benjamin Iñiguez, Denis Flandre and Y. Ismail, “An Analytical 3D Model for Short-Channel Effects in Undoped FinFETs”, Journal of computational electronics, accepted, May 2014, ref. No. JCEL-D-13-00171R1.

36. Bandgap bowing in Ta-WO system for efficient solar energy conversion: Insights from density functional theory and X-ray diffraction” R Nashed, FM Alamgir, SS Jang, Y Ismail, MA El-Sayed, NK Allam Applied Physics Letters 103 (13), 133905

37. Nashed, R., Ismail, Y., & Allam, N. K. (2013). Recent advances in the use of density functional theory to design efficient solar energy-based renewable systems. Journal of Renewable and Sustainable Energy, 5, 022701.

38. Nashed, R., Hassan, W. M., Ismail, Y., & Allam, N. K. (2013). Unravelling the interplay of crystal structure and electronic band structure of tantalum oxide (Ta2O5). Physical Chemistry Chemical Physics, 15(5), 1352-1357.

39. Hamdy Abd Elhamid, Y. Ismail, M. J. Deen “A computational study of nonparabolic conduction band effect on quantum wire transport (e.g. GaN)”, Optical and Quantum Electronics Journal, May 2013; DOI:10.1007/s11082-013-9696-y

40. Flayyih, W.N; Samsudin, K. ; Hashim, S.J. ; Rokhani, F.Z. ; Ismail, Y.I. “Crosstalk-Aware Multiple Error Detection Scheme Based on Two-Dimensional Parities for Energy Efficient Network on Chip” Published in Circuits and Systems I: Regular Papers, IEEE Transactions on (Volume:PP , Issue: 99 ) (2013)

41. Ismail, Yehea I. "Editorial Appointments for the 2013–2014 Term." Very Large Scale Integration (VLSI) Systems, IEEE Transactions on 21.3 (2013): 393-412.

42. Raymond, Mina, Maged Ghoneima, and Yehea Ismail. "A programmable multi–step cyclic Vernier time–to–digital converter." International Journal of Circuits and Architecture Design 1.1 (2013): 41-61.

43. Mohamed A. Swillam, Rehab Kotb, and Yehea Ismail, "Tunable Nanoplasmonics," Advanced Electromagnetics, Vol. 2, No. 2, July 2013’

44. Rehab Kotb, Yehea Ismail, and Mohamed A. Swillam, "Integrated Metal-Insulator-Metal Plasmonic Nano Resonator: An Analytical Approach, " Progress in Electromagnetics Research Letters, Vol. 43, 83-94, 2013.

45. Rehab Kotb, Yehea Ismail, and Mohamed A. Swillam, "Integrated Metal-Insulator-Metal Plasmonic Nano Resonator: An Analytical Approach, " Progress in Electromagnetics Research Letters, Vol. 43, 83-94, 2013.

46. Friedman, J.S.; Rangaraju, N.; Ismail, Y.I.; Wessels, B.W.; , "A Spin-Diode Logic Family," Nanotechnology, IEEE Transactions on , vol.11, no.5, pp.1026-1032, Sept. 2012

47. S. Agwa, E. Yahya, Y. Ismail, “Design techniques for variability mitigation” International Journal of Circuits and Architecture Design 1 (1), 20-40

48. Gamal, Rania and Ismail, Yehia and Swillam, Mohamed A., “Optical biosensor based on silicon nanowire ridge waveguide”, Proc. SPIE 9371, Photonic and Phononic Properties of Engineered Nanostructures, Volume 9371, Pages 93711U - 93711U-5, February 2015

49. Hassan Mostafa, Mohab Anis, and Mohamed Elmasry, "Statistical SRAM Read Access Yield Improvement Using Negative Capacitance Circuits", IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 21, issue 1: IEEE, pp. 92-101, 2013

50. Hosam Mekawey ; Yehea Ismail and Mohamed A. Swillam , " Dispersion analysis and engineering of 2D plasmonic waveguides", Journal of Optics, Volume 17, No. 1, 2015

51. M. Raymond, M. Ghoneima, and Y. Ismail, “A Process and Temperature Variations Compensation Scheme for Yield Enhancement with Dynamic Device Parameters Tuning,” accepted for publication in the Microelectronics Journal.

52. Towards a perfect system for solar hydrogen production: an example of synergy on the atomic scale R Nashed, FM Alamgir, J Seung Soon, Y Ismail, MA El-Sayed, N Allam SPIE Solar Energy+ Technology, 88220A-88220A-7

53. K Haghdad, M Anis, Y Ismail,"Floorplanning for low power IC design considering temperature variations," Microelectronics Journal, September 2010.

Page 4

Page 5: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

54. Long, J.; Ku, J. C.; Ogrenci Memik, S.; Ismail, Y.; “SACTA: A Self-Adjusting Clock Tree Architecture for Adapting to Thermal-Induced Delay Variation,” IEEE Transactions on VLSI (TVLSI), vol. 18, no. 9, August 2010, pp. 1323-1336.

55. Debasish Das, Ahmed Shebaita, Hai Zhou, Yehea Ismail and Kip Killpack. FA-STAC: An Algorithmic Framework for Fast and Accurate Coupling Aware Static Timing Analysis. IEEE Transactions on VLSI (TVLSI), vol. 3, no. 99, January 2010, pp. 1-14.

56. Ghoneima, M., Ismail, Y., Khellah, M., Tschanz, J., De, V.,”Serial-Link Bus: A Low-Power On-Chip Bus Architecture”, IEEE Transactions on Circuits and Systems I, Regular Papers, vol. 56, no. 9, September 2009, pp. 2020-2032.

57. DiaaEldin Khalil, Debjit Sinha, Hai Zhou, and Yehea Ismail “A Timing-Dependent Power Estimation Framework Considering Coupling,” IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 6, JUNE 2009, pp. 843-847.

58. S Mei and Y. Ismail, “Stable Parallelizable Model Order Reduction for Circuits with Frequency Dependent Elements,” IEEE Transactions on Circuits and Systems I, Regular Papers, vol. 56, no. 6, June 2009, pp. 1214-1220.

59. Khalil, D.E. Khellah, M. Nam-Sung Kim Ismail, Y. Karnik, T. De, V.K, “SRAM Dynamic Stability Estimation Using MPFP and its Applications,” Microelectronics Journal, vol. 40, no. 11, November 2009, pp. 1523-1530.

60. Ghoneima, M. Ismail, Y. Khellah, M. De, V.,” SSMCB: Low-Power Variation-Tolerant Source-Synchronous Multicycle Bus”, IEEE Transactions on Circuits and Systems I, Regular Papers, vol. 56, no. 2, February 2009, pp. 384-394.

61. M. Chowdhury and Y. Ismail, “Behavior Analysis of Deep Sub-micron Integrated Circuits Including Self and Mutual Inductance,” Journal of Circuits, Systems, and Signal Processing, vol. 27, no. 1, January 2008, pp. 23-34.

62. Khalil, D.E. Khellah, M. Nam-Sung Kim Ismail, Y. Karnik, T. De, V.K. , “Accurate Estimation of SRAM Dynamic Stability,” IEEE Transactions on Very Large Scale Integration, vol. 16, no. 12, Dec. 2008, pp. 1639-1647.

63. Ghoneima, M.M. Khellah, M.M. Tschanz, J. Yibin Ye Kurd, N. Barkatullah, J.S. Nimmagadda, S. Ismail, Y. De, V.K. , “Skewed Repeater Bus: A Low-Power Scheme for On-Chip Buses,” IEEE Transactions on Circuits and Systems I, Regular Papers, vol. 55, no. 7, August 2008, pp. 1904-1910.

64. Ghoneima, M.M. Khellah, M.M. Tschanz, J. Yibin Ye Kurd, N. Barkatullah, J.S. Nimmagadda, S. Ismail, Y. De, V.K. , “Skewed Repeater Bus: A Low-Power Scheme for On-Chip Buses,” IEEE Transactions on Circuits and Systems I, Regular Papers, vol. 55, no. 7, August 2008, pp. 1904-1910.

65. Shebaita, A. Ismail, Y., “Multiple Threshold Voltage Design Scheme for CMOS Tapered Buffers, “IEEE Transactions on Circuits and Systems II, vol. 55, no. 1, January 2008, pp. 21-25.

66. J. Ku and Y. Ismail, “On Scaling of Temperature-Dependent Effects,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 10, pp. 1882-1888, October 2007.

67. Ja Chun Ku; Ismail, Y.; Thermal-Aware Methodology for Repeater Insertion in Low-Power VLSI Circuits, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 8, Aug. 2007 Page(s):963 – 970

68. Ja Chun Ku; Ozdemir, S.; Memik, G.; Ismail, Y.; Thermal Management of On-Chip Caches Through Power Density Minimization IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 5, May 2007 Page(s):592 – 604

69. Ghoneima, M. , Ismail, Y., Khellah, M., De, V., “Variation-Tolerant and Low-Power Source-Synchronous Multicycle On-Chip Interconnect Scheme”, IEEE Transactions on Circuits and Systems I, Regular Papers, vol. 2007, 2007, pp. 1-12.

70. M. Ghoneima, M. Khellah, J. Tschanz, Y. Ye, Y. Ismail, and V. De, “Reducing the Effective Coupling Capacitance in Buses Using Threshold Voltage Adjustment Techniques,” IEEE Transactions on Circuits and Systems 1: Fundamental Theory and Applications, vol. 52, no. 9, pp. 1928-1933, September 2006.

71. M. Chowdhury and Y. Ismail, “Realistic Scalability of Noise in Dynamic Circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 6, June 2006, pp. 637-641.

72. M. Ghoneima, Y. Ismail, M. Khellah, J. Tschanz, V. De, "Formal Derivation of Optimal Active Shielding for Low-Power On-Chip Buses", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 25, May 2006, pp. 821-836.

73. M. Ghoneima and Y. Ismail, “Optimum positioning of interleaved repeaters in bidirectional buses,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.24, no.3, March 2005. p. 461-469.

74. C. S. Amin, F. Dartu, and Y. I. Ismail, “Weibull based analytical waveform model,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol. 24, No. 8, pages 1156-1168, August 2005.

75. M. H. Chowdhury, C. Amin, Y. I. Ismail, " Realizable reduction of Interconnect Circuits Including Self and Mutual Inductances," IEEE Transactions on Computer-Aided Design, Vol. 24, No. 2, pp. 271-277, February 2005.

76. M. Ghoneima and Y. Ismail, “Utilizing the Effect of Relative Delay on Energy Dissipation in Low-Power On-Chip Buses,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No.12, pages 1348-1359, December 2004.

77. Y. I. Ismail and C. Amin, “Computation of Signal Threshold Crossing Times Directly from Higher Order Moments”, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 23, no. 8, pp. 1264-1276, August 2004.

78. S. Mei and Y. I. Ismail, “Modeling skin and proximity effects with reduced realizable RL circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 12, No. 4, pp. 437-447, April 2004.

79. Y. I. Ismail, "Improved Model Order Reduction by Using Spacial Information in Moments," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 11, No. 5, pp. 900-908, October 2003.

80. Y. I. Ismail, "Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits: Summary," IEEE Circuits and Systems Magazine, April 2003. (invited paper).

Page 5

Page 6: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

81. S. Mei, C. Amin, and Y. I. Ismail, "Efficient Model Order Reduction Including Skin Effect," IEEE Canadian Journal of Electrical and Computer Engineering, Vol. 27, No.4, pages 189-194, October 2002. (invited paper).

82. Y. I. Ismail, "Cons and Pros of On-Chip Inductance," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 10, No. 6, pp. 685-694, December 2002.

83. Y. I. Ismail, E. G. Friedman, and J. L. Neves, "Inductance Effects in RLC Trees," To appear in the IEEE Journal of Circuits, Systems, and Computers, Vol. 11, No. 3, pp. 305-321, August 2002.

84. Y. I. Ismail and E. G. Friedman "On the Extraction of On-Chip Inductance," IEEE Journal of Circuits, Systems, and Computers JCSC, Vol. 12, No. 1, pp. 31-40, February 2003.

85. Y. I. Ismail and E. G. Friedman, “DTT: Direct Truncation of the Transfer Function-An Alternative For Moment Matching For Tree Structured Interconnect,” IEEE Transactions on Computer-Aided Design, Vol. 21, No. 2, pp. 131-144, February 2002.

86. Y. Massoud and Y. I. Ismail, "Grasping the impact of on-chip inductance", IEEE Circuits and Devices Magazine, Vol. 17, No. 4, pp. 14 – 21, July 2001. (invited paper).

87. Y. I. Ismail, E. G. Friedman, and J. L. Neves, "Exploiting On-Chip Inductance in High Speed Clock Distribution Networks," IEEE Transactions on Very Large Scale Integration (VLSI) Systems Vol. 9, No. 6, pp. 963 - 973, December 2001.

88. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Repeater Insertion in Tree Structured Inductive Interconnect,” IEEE Transactions on Circuits and Systems II: Analog and Digital Signal Processing, Vol. 48, No. 5, pp. 471 – 481, May 2001.

89. Y. I. Ismail and E. G. Friedman, “Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 8, No. 2, pp. 195 – 206, April 2000. (IEEE CAS Outstanding Young Author Award).

90. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Equivalent Elmore Delay for RLC Trees,” IEEE Transactions on Computer-Aided Design, Vol. 19, No. 1, pp. 83-97, January 2000.

91. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Figures of Merit to Characterize the Importance of On-Chip Inductance,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Vol. 7, No. 4, pp. 442 – 449, December 1999.

92. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Dynamic and Short-Circuit Power of CMOS Gates Driving Lossless Transmission Lines,” IEEE Transactions on Circuits and Systems 1: Fundamental Theory and Applications, Vol. CAS-46, No. 8, pp. 950 - 961, August 1999.

Refereed Conference Publications

1. Hossam Ahmed, Amr Helmy, Hassan Mostafa, Yehea Ismail, “A Novel CMOS-based Fully Differential Operational Floating Conveyor”, accepted as poster/short paper publication and presentation at the ISVLSI, April 2017.

2. Esraa Hamed, Eman Badr, Ali Elhussien, Hassan Mostafa, Yehea Ismail “A VCO-Based MPPT Circuit for Low-Voltage Energy Harvesters” accepted as full paper publication and presentation at the ISVLSI, April 2017.

3. Mohamed Y. Elsayed ; Aya O. Zaki ; Yehea Ismail ; Mohamed A. Swillam , “Integrated lab-on-a-chip sensor using shallow silicon waveguide multimode interference (MMI) device”, SPIE Digital Library as part of the proceedings of the Integrated Optics: Devices, Materials, and Technologies XXI conference, March 2017.

4. Yehia Ghalla, Sameh Sherif, Yehea Ismail “Dielectric Analysis of Changes in Electric Properties of Leukemic Cells Through Travelling and Negative Dielectrophoresis with 2-D Electrodes, IEEE International Symposium on Circuits & Systems, Baltimore, MD, USA, May 2017.

5. Hassan Mostafa, Yehea Ismail, “Design Guidelines for the High-Speed Dynamic Partial Reconfiguration Based Software Defined Radio Implementations on Xilinx Zynq FPGA”, IEEE International Symposium on Circuits & Systems, Baltimore, MD, USA, May 2017.

6. Shady Onsey, Eslam Yahya, Yehea Ismail “Power Efficient AES Core for IoT Constrained Devices Implemented in 130nm CMOS”, IEEE International Symposium on Circuits & Systems, Baltimore, MD, USA, May 2017.

7. Moustafa Amin, Nada Elmeligy, Eslam Yahya, Yehea Ismail “130 nm Low Power Asynchronous AES Core”, IEEE International Symposium on Circuits & Systems, Baltimore, MD, USA, May 2017.

8. Omnia Samy, Hamdy Abdelhamid, Yehea Ismail “A Unified Analytical Reliability Model of NBTI and HCD for Undoped Double Gate PMOS”, IEEE International Symposium on Circuits & Systems, Baltimore, MD, USA, May 2017.

9. Ahmed Saeed, Alaa El-Rouby, Yehea Ismail, Hani Ragai “Frequency-Domain Characterization of Clock Signal for Signal Integrity Analysis”, IEEE CCWC 2017, November 2016.

10. Mohammed A Eldeeb, Yehya H Ghallab, Hassan Elghitani, Yehea Ismail, “A 0.4 V 90nm CMOS subthreshold current conveyor”, Electrical and Computer Engineering (CCECE), 2016 IEEE Canadian Conference, November 2016.

11. Reda Mohamed, Yehya Ghallab, Hamdy Abd elhamid, Yehea Ismail, Mohamed-Tarek El-Wakad, “A 3D Model of Quadrupole Dielectrophoresis Leviation” MWSCAS 2016, October 2016, Abu Dhabi

12. Ahmad Qassem, Reda Mohamed, Yehya Ghallab, Yehea Ismail, “A 130 nm CMOS Integrated Lab-On-a-Chip Based on DeFET Sensor for Biomedical Analysis”, MWSCAS 2016, October 2016, Abu Dhabi

13. Taher Kourany, Maged Ghoneima, Emad Hegazi, Yehea Ismail “PASSIOT: A Pareto- Optimal Multi-Objective Optimization Approach for Synthesis of Analog Circuits Using Sobol’ Indices-based Engine”, MWSCAS 2016, October 2016, Abu Dhabi

Page 6

Page 7: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

14. Mohammed A. Eldeeb, Yehya Ghallab, Yehea Ismail, Hassan Elghitani, “Design of Low Power CMOS Subthreshold Current Mode Instrumentation Amplifier on CCII”, MWSCAS 2016, October 2016, Abu Dhabi.

15. Abdelaziz Gouda, Mohamed Elsayed, Nageh K. Allam, Mohamed Swillam, “Black silicon based on simple fabrication of mesoporous Silicon nanowires for solar energy harvesting”, IEEE Photovoltaics Specialists Conference (PVSC) Portland OR, June 2016.

16. Abdelaziz Gouda, Mohamed Elsayed, Christen Tharwat, Mohamed Swillam, “Silicon-based Nanostructures as Surface Enhanced Raman Scattering Substrates”, Photonics North, Quebec, May 2016

17. MY Elsayed, Y Ismail, MA Swillam, “Semiconductor Plasmonic Gas Sensor using on-chip Infrared Spectroscopy”, META16, Malaga, July 2016 (Poster)

18. Mohamed Elsayed, Yehea Ismail, Mohamed A. Swillam, “Graphene Plasmonic Electro-absorption Modulator” Photonics North, Quebec, May 2016

19. Mohamed Elsayed, Yehea Ismail, Mohamed A. Swillam, “Semiconductor Plasmonic Gas Sensor” Photonics North, Quebec, May 2016

20. Abdelaziz Gouda, Ahmed E. Khalifa, Mohamed Elsayed, Yehea Ismail, Mohamed A. Swillam, “Self-cleaning Wideband Antireflective Silicon Nanocones for Solar Cell Applications”, Photonics North, Quebec, May 2016

21. R Abdelbaset, YH Ghallab, H Abdelhamid, Y Ismail and MT El-Wakad, “A 2D Model of Traveling Wave Dielectrophoresis Microelectrode Array based on Printed Circuit Board Technology for manipulation and characterization of Malignant and Normal Liver Cells”, Fourth International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC 2016)

22. Wameedh Flayyih, Khairulmizam Samsudin, Yehea Ismail "Adaptive Multibit Crosstalk-Aware Error Control Coding Scheme for on-Chip Communication", at the Late Breaking News - TCAS Special Sessions of the 2016 IEEE Int'l Symposium on Circuits & Systems, to be held in Montreal, Canada from May 22-26, 2016

23. Y. Elsayed, T. Ismail, H. Mostafa, and Y. Ismail, "Design and Analysis of Filter-Based Optical Clock and Data Recovery for Low-Cost and High-Speed Optical Access Network", IEEE International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC'2016), Alexandria, Egypt, IEEE, In Press.

24. M. Abdelkader, A. Ali, A. Abdelaziz, W. M. Ismail, M. Refky, Y. Ismail, and H. Mostafa, "A 200MS/s, 8-bit Time-based Analog to Digital Converter (TADC) in 65nm CMOS Technology", IEEE International Japan-Egypt Conference on Electronics, Communications and Computers (JEC-ECC'2016), Alexandria, Egypt, IEEE, In Press.

25. Mohammed Eldeeb, Yehya Ghallab, Hassan Elghetani, Yehea Ismail “A 0.4V 90nm CMOS Subthreshold Current Conveyor”, 2016 IEEE Canadian Conference on Electrical and Computer Engineering (CCECE) to be held in Vancouver, May 15-18, 2016.

26. Noha Gamal, Hossam Fahmy, Yehea Ismail and Hassan Mostafa, “Design Guidelines for Embedded NoCs on FPGAs”, ISQED 2016, International Society for Quality Electronic Design, Santa Clara, CA, March 2016.

27. T Kourany, E Hegazi, Y Ismail "TCG-SP: an Improved Floorplan Representation Based on an Efficient Hybrid of Transitive Closure Graph and Sequence Pair", 2016 IEEE Int'l Symposium on Circuits & Systems, Montreal, Canada, ISCAS 2016.

28. T. Ramadan, E. Yahya, Y. Ismail and M. Dessouky, "Accuracy-Improved Coupling Capacitance Model for Through-Silicon via (TSV) Arrays Using Dimensional Analysis", 2016 IEEE Int'l Symposium on Circuits & Systems, Montreal, Canada, ISCAS 2016.

29. A Abdulslam, SH Amer, AS Emara, Y Ismail, "Evaluation of Multi-Level Buck Converters for Low-Power Applications", 2016 IEEE Int'l Symposium on Circuits & Systems, Montreal, Canada, ISCAS 2016.

30. A Abdulslam, F El-Sehrawy, Y Ismail, "Five-Level Hybrid DC-DC Converter with Enhanced Light-Load Efficiency", 2016 IEEE Int'l Symposium on Circuits & Systems, Montreal, Canada, ISCAS 2016.

31. M Abdel-Galil, H Hegazy, Y Ismail, “RC-in-RC-Out Model Order Reduction via Node Merging", 2016 IEEE Int'l Symposium on Circuits & Systems, Montreal, Canada, ISCAS 2016.

32. Nermine M Edward, Yehya H Ghallab, Hassan M Hassan, Yehea I Ismail, “A CMOS based operational floating current conveyor” 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS), December 2015.

33. Abdullah Abdulslam, Baker Mohammad, Mohammad Ismail, Yehea Ismail, “A simple hybrid 3-level buck-boost DC-DC converter with efficient PWM regulation scheme”, 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS), December 2015.

34. Nermine M Edward, Yehya H Ghallab, Hassan Mostafa, Yehea I Ismail, “A CMOS based operational floating current conveyor and its applications”, 2015 IEEE International Conference on Electronics, Circuits, and Systems (ICECS), December 2015.

35. Eslam Yahya, Hatem Zakaria, Yehea Ismail, "Deadlock Detection in Conditional Asynchronous Circuits under Mismatched Branch Selection" ICECS 2015.

36. Bassem Safieldeen, Hassan Mostafa, Hamdy Abdelhamid, and Yehea Ismail, "A Comparative Evaluation of Single-Walled Carbon Nanotubes and Copper in Interconnects and Through-Silicon Vias, " IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo, Egypt (In Press).

37. T. Ramadan, E. Yahya, Y. Ismail and M. Dessouky, “Coupling Capacitance Extraction in Through-Silicon Vias (TSVs) Arrays ” in International Conference on Electronics, Circuits, and Systems (ICECS),Dec 2015

38. Osama Abdelkader, Hassan Mostafa, Hamdy Abdelhamid, Yehea Ismail, and Ahmed Soliman, "Impact of Technology Scaling on the Minimum Energy Point for FinFET Based Flip-Flops, " IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo, Egypt (In Press).

39. Eslam Yahya, Hatem Zakaria, Yehea Ismail "Deadlock Detection in Conditional Asynchronous Circuits Under Mismatched Branch Selection", accepted at the 2015 IEEE Intl Conference on Electronics, Circuits, & Systems,

Page 7

Page 8: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

40. Amr Hassan, Rami Ahmed, Hassan Mostafa, Hossam Fahmy, and Ahmed Hussein, "Performance Evaluation of Dynamic Partial Recon?guration Techniques for Software De?ned Radio Implementation on FPGA, " IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo, Egypt (In Press).

41. Marwa Abdallah, Hassan Mostafa, Yehea Ismail, and Mohamed Fathy, "Yield Optimization of Spintronic Memristor Based Memory Arrays, " IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo, Egypt (In Press).

42. Nermine Edward, Yehya Ghallab, Hassan Mostafa, and Yehea Ismail, " A Developed CMOS Based Operational Floating Current Conveyor, " IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo, Egypt (In Press).

43. Tawfik Ismail, Hassan Mostafa, and Yehea Ismail, "Performance Evaluation of Wavelength Exchanging in WDM Optical Crossbar, " IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2015), Cairo, Egypt (In Press).

44. Ahmed A. Rezk, Amr Helmy, and Yehea Ismail “Dual Output Power Management Unit for a PV Battery Hybrid Energy System”, Energy Aware Computing Systems & Applications (ICEAC), 2015 International Conference.

45. Ahmed A. Rezk, Amr Helmy, and Yehea Ismail , ”VHDL Implementation of a Power Management Algorithm for PV-Battery system Energy Aware Computing Systems & Applications”, Energy Aware Computing Systems & Applications (ICEAC), 2015 International Conference (ICEAC), 2015 International Conference.

46. Sondos Ismail, Ahmed Madian, Hassan Mostafa, and Amr Talaat, "A Novel Capacitive-to-Digital Converter Interface Based on MemCapacitors for MEMS Capacitive Sensing Applications, " International Symposium on Nonlinear Theory and its Applications (NOLTA 2015), Hong Kong, China (In Press).

47. Ayman Eltaliawy, Hassan Mostafa, and Yehea Ismail, "A New Digital Locking MPPT control for Ultra Low Power Energy Harvesting Systems," IEEE International NEW Circuits And Systems (NEWCAS 2015), pp. 1-4, conference, Grenoble, France 2015.

48. Mohamed Mohie El-Din, Hassan Mostafa, Hossam Fahmy, Yehea Ismail, and Hamdy Abdelhamid, "Performance Evaluation of FinFET-Based FPGA Cluster Under Threshold Voltage Variation, " IEEE International NEW Circuits And Systems (NEWCAS 2015), pp. 1-4, conference, Grenoble, France 2015.

49. Khaled Helal, Sameh Attia, Tawfik Ismail, and Hassan Mostafa, "Priority Select Arbiter: An Efficient Round-Robin Arbiter, " IEEE International NEW Circuits And Systems (NEWCAS 2015), pp. 1-4, conference, Grenoble, France 2015.

50. Yasmen Elsayed, Tawfik Ismail, and Hassan Mostafa, "A Wide FBG-Based Optical Clock and Data Recovery for Optical Access Networks, " IEEE International Conference on Transparent Optical Networks (ICTON 2015), pp. 1-4, Budapest, Hungary 2015.

51. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, and Yehea Ismail, "A Reconfigurable Receiver Architecture Utilizing Time-Varying Matching Network for A Universal Receiver, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), pp. 1-4, Cairo, Egypt 2015.

52. K. Osama Ragab , Hassan Mostafa, and Ahmed Eladawy, "TDC SAR Algorithm with Continuous Disassembly (SAR-CD) for Time-Based ADCs, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), pp. 1-4, Cairo, Egypt 2015.

53. Osama Abdelkader, Hassan Mostafa, Hamdy Abd elhamid, and Ahmed M. Soliman, "The Impact of FinFET Technology Scaling on Critical Path Performance under Process Variations, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), pp. 1-4, Cairo, Egypt 2015.

54. Yasmine Elsayed, Amr Wageeh, Taw_k Ismail, and Hassan Mostafa, "All Optical Clock and Data Recovery using Self-Pulsating Lasers for High-Speed Optical Networks, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), Cairo, Egypt 2015. Best Paper Award

55. Alaa Salaheldin, Karim Abdallah, Noha Gamal, and Hassan Mostafa, "Review of NoC-Based FPGAs Architectures, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), pp. 1-4, Cairo, Egypt 2015.

56. Khaled Ali, Hassan Mostafa, and Taw_k Ismail, "High Performance Layout Friendly 64-Bit Priority Encoder Utilizing Parallel Priority Look-Ahead, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), pp. 1-4, Cairo, Egypt 2015.

57. Mostafa Ibrahim, Ayman Eltaliawy, Hassan Mostafa, and Yehea Ismail, "A New Digital Current Sensing Technique Suitable for Low Power Energy Harvesting Systems, " IEEE International Conference on Energy Aware Computing Systems and Applications (ICEAC 2015), pp. 1-4, Cairo, Egypt 2015.

58. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, and Yehea Ismail, "A New Tunable Receiver Front-End Supporting LTE, " IEEE International Symposium on Circuits and Systems (ISCAS 2015), pp. 974-977, Lisbon, Portugal 2015.

59. Abdullah El-Bayoumi, Hassan Mostafa, and Ahmed M. Soliman, "A New Highly-Linear Highly-Sensitive Di_erential Voltage-to-Time Converter Circuit in CMOS 65nm Technology, " IEEE International Symposium on Circuits and Systems (ISCAS 2015), pp. 1262-1265, Lisbon, Portugal 2015.

60. Khaled A. Helal, Sameh Attia, Taw_k Ismail, and Hassan Mostafa, "Comparative Review of NOCs in the Context of ASICs and FPGAs, " IEEE International Symposium on Circuits and Systems (ISCAS 2015), pp. 1866-1869, Lisbon, Portugal 2015.

61. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, and Yehea Ismail, "A New Programmable Receiver Front-End Architecture Supporting LTE, " IEEE International Conference on Microelectronics (ICM 2014), pp. 25-28, Doha, Qatar 2014.

Page 8

Page 9: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

62. Ahmed Ali, Ali Hassan, Maged Ali, Mohammed Hassoubh, Nabil Mohammed, M. Wagih Ismail, Mohamed Refky, and Hassan Mostafa , "A 1 GS/s 6 bits Time-Based ADC for front End Receivers, " IEEE International Conference on Microelectronics (ICM 2014), pp. 96-99, Doha, Qatar 2014.

63. Assem Hussein, Mohamed Fawzy, M. Wagih Ismail, Mohamed Refky, and Hassan Mostafa, "A 4-Bit 6 GS/s Time-Based Analog-To-Digital Converter, " IEEE International Conference on Microelectronics (ICM 2014), pp. 92-95, Doha, Qatar 2014.

64. Ali Kourani, Emad Hegazi and Yehea Ismail, “76.8 MHz, 105 ppm Temperature Stable, 106 fs Jitter AlN-on-Si MEMS Oscillator for Cellular Applications”, IEEE International Symposium on Signals, Circuits & systems ISSCS, July 2015.

65. Ali Kourani, Emad Hegazi and Yehea Ismail, “RF MEMS Reference Oscillator Platform with 0.5ppm Frequency Stability for Wireless Handsets ”, IEEE International Symposium on Signals, Circuits & systems ISSCS, July 2015.

66. Khaled Mohamed and Yehea Ismail, "Design of Adiabatic TSV, SWCNT TSV, and Air-Gap Coaxial TSV" IEEE Int'l Symposium on Circuits & Systems ISCAS 2015.

67. Ahmed El-Thakeb, Hamdy Abdelhamid, Hassan Mostafa and Yehea Ismail, “Performance Evaluation of FinFET-Based SRAM Cells Under Statistical V_T Variability”, IEEE, ICM 2014, Qatar.

68. Marwa Abdullah, Hassan Mostafa, and Mohamed Fathy, "Yield Maximization of Memristor-Based Memory Arrays,” IEEE International Conference on Microelectronics (ICM 2014), pp. 5-8, Doha, Qatar 2014.

69. Ahmed T. El Thakeb, Thomas Haine, Denis Flandre, Yehea Ismail, Hamdy Abd El Hamid, David Bol, "Analysis and Optimization for Dynamic Read Stability in 28nm SRAM Bitcells" IEEE Int'l Symposium on Circuits & Systems ISCAS 2015.

70. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail, “A New Programmable Receiver Front-End Architecture Supporting LTE", IEEE Internation Conference on Microelectronics ICM, 2014

71. Hoda Abdelsalam, Emad Hegazi, Hassan Mostafa, Yehea Ismail, "A New Tunable Receiver Front-End Supporting LTE" IEEE Int'l Symposium on Circuits & Systems ISCAS 2015.

72. Ali H. Hassan, Maged Ali, Nabil Mohammed, Ahmed Ali, Mohammed Hassoubh, M.Wagih Ismail, Mohammed Refky, and Hassan Mostafa, “A 500 MS/s 6 bits Delay Line ADC with Inherit Sample & Hold” Texas A&M University Conference, 2015

73. Assem S. Hussein, Mahmoud Fawzy, M. Wagih Ismail, Mohamed Refky, and Hassan Mostafa, “A 4-Bit 6GS/s Time-Based Analog-To-Digital Converter” Texas A&M University Conference, 2015.

74. Marwa Abdallah, Hassan Mostafa and Mohamed Fathy, “Yield Maximization of TiO2 Memristor-Based Memory Arrays” Texas A&M University Conference, 2015.

75. Hosam Mekawey ; Yehea Ismail and Mohamed A. Swillam , " Dispersion analysis and engineering of TiN 2D plasmonic waveguides" , Proc. SPIE 9371, Photonic and Phononic Properties of Engineered Nanostructures V, 93711C (February 27, 2015); doi:10.1117/12.2076651

76. Lotfia El Nadi, Mohamed Ezzat and Yehea Ismail “Structural and Optical Properties of Nano-Silicon Fabricated by Liquid Phase Laser Ablation Method,” Aligarh Nano-IV International Conference, 8- 10 March 2014, INDIA

77. Lotfia El Nadi, Mohamed Ezzat , I. Marzook, Gamal A .Fattah Yehea Ismail “Silicon Nano-Crystallites Prepared by Nanosecond Laser Ablation of Si Wafers in Water,” Aligarh Nano-IV International Conference, 8- 10 March 2014, INDIA

78. Eslam Yahya, Yehea Ismail and Mohamed Ismail,” Ultra-Low Power Node for Body Sensor Network”, accepted to be published at Techconnect Conference, Washington DC, June 15-19, 2014.

79. Ahmed A. Abdelmoaty, Amr Helmy, Ahmed A. Rezk, Yehea Ismail, “Solar Energy Prototype with a Maximum Power Point Tracking (MPPT)”, accepted to be published at Techconnect Conference, Washington DC, June 15-19, 2014.

80. Yehya H. Ghallab and Yehea Ismail” A CMOS Lab-On-A-Chip For Neuron Monitoring And Stimulation”, accepted to be published at Techconnect Conference, Washington DC, June 15-19, 2014.

81. Hamdy Abd Elhamid, B. Ineguez, Y. Ismail and MJ Deen, “Drain Current Model for Thin Body Undoped and Lightly Doped-Gate MOSFETs”, accepted to be published at Techconnect Conference, Washington DC, June 15-19, 2014.

82. Abdelrahman H. Elsayed, Ramy N. Tadros, Maged Ghoneima, and Yehea Ismail, “Low-Power All-Digital Manchester-Encoding-Based High-Speed SerDes Transceiver for On-Chip Networks,” Circuits and Systems (ISCAS), accepted to be published at 2014 IEEE International Symposium on, Jun 2014.

83. Ramy N. Tadros, Abdelrahman H. Elsayed, Maged Ghoneima, and Yehea Ismail, “A Variation Tolerant Driving Technique for All-Digital Self-Timed 3-Level Signaling High-Speed SerDes Transceivers for On-Chip Networks,” Circuits and Systems (ISCAS), accepted to be published at 2014 IEEE International Symposium on, Jun 2014.

84. Rehab Kotb, Yehea Ismail, and Mohamed A. Swillam, "Plasmonic Tunable Nano-filter, " 31st National Radio Science Conference (NRSC2014), April 2014

85. Rehab Kotb, Yehea Ismail, and Mohamed A. Swillam, "Integrated coupled multi-stage plasmonic resonator for on-chip sensing ",Proc. SPIE 9126, Nanophotonics V, 91263M (May 2014);

86. Hassan Mostafa, and Yehea Ismail, "Nanometer FPGA: Challenges and Opportunities", International Conference on Industry Academia Collaboration (IAC'2014), Egypt, In Press.

87. K.Ali, E.Yahya, A.Elrouby, Y.Ismail " Through Silicon Via Coupling Capacitance estimation and characterization", IAC conference 2014

88. A.Alawamry, E.Yahya, Y.Ismail " Enhanced Ad-Hoc Routing Protocol for Power Line Communications", IAC conference 2014

89. Yehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp. 1-4, Cairo, March 3, 4, 2014

Page 9

Page 10: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

90. Mohamed Wagih and Hassan Mostafa, "Novel Design Methodology for Voltage-to-Time Converter Circuits (VTCs)", submitted to IEEE International System On Chip Confernece (SOCC'14).

91. Mohamed A. Swillam, Rehab Kotb, and Yehea Ismail, "Tunable Nanoplasmonics," META'13 conference, Advanced Electromagnetics, Vol 2, No 2 (2013), pp. 1-5, March 2013.

92. S. Agwa, E. Yahya and Y. Ismail, "Variability Mitigation Using Correction Function Technique", 2013 IEEE International Conference on Electronics, Circuits, and Systems, ICECS, 2013.

93. Eslam Yahya, Laurent Fesquet, Yehea Ismail, and Marc Renaudin, “Statistical Static Timing Analysis of Conditional Asynchronous Circuits Using Model-Based Simulation”. The 19th IEEE International Symposium on Asynchronous Circuits and Systems “Async’13”, California, USA, 2013, pp. 67-74.

94. Nashed, R.; Alamgir, F.M.; Jang, S.S.; Ismail, Y.; ElSayed, M.A.; Allam, N. K., Towards a Perfect System for Solar Hydrogen Production: An Example of Synergy on the Atomic Scale. SPIE International Symposium on Solar Energy+Technology, 25-29 August 2013, (pp. 15-20), San Diego, California, USA (pp. 15-20).

95. Rezk, A. Helmy, A. A. AbdelMoaty, and Y. Ismail, "VHDL Implementation of Maximum Power Point Tracking Algorithms," IEEE International conference on Electronics, Circuits, and Systems December 8-11 2013, (pp. 389 – 392), Abu Dhabi, UAE.

96. Mostafa, H., and Y. Ismail, "Highly-Linear Voltage-to-Time Converter (VTC) Circuit for Time-Based Analog-to-Digital Converters (T-ADCs)", IEEE International Conference on Electronics, Circuits, and Systems (ICECS'13), Abu Dhabi, United Arab Emirates, IEEE, (pp. 149 – 152), 2013.

97. Eltaliawy, A., H. Mostafa, and Y. Ismail, "Microscale Solar Energy Harvesting for Wireless Sensor Networks Based on Exponential Maximum Power Locking Technique", IEEE International Conference on Electronics, Circuits, and Systems (ICECS'13), Abu Dhabi, United Arab Emirates, IEEE, (pp. 889 – 892), 2013.

98. El Agroudi, N., Y. Ismail, “New Hybrid Battery Model That Takes Into Account Both Electric Circuit Characteristics and Non-Linear Battery Properties", IEEE International Conference on Electronics, Circuits, and Systems (ICECS'13), 8-11 Dec 2013, United Arab Emirates, IEEE (pp. 289 – 292).

99. Mohamed A. Swillam, Rehab Kotb, and Yehea Ismail, "Tunable Nanoplasmonics," META'13 conference, Advanced Electromagnetics, Vol 2, No 2 (2013), pp. 1-5, March 2013.

100.Ahmad M. Marzouk, Ahmed A. Abdelmoaty, Amr Helmy, Yehea Ismail “TPQA: Three Point Quadrature Approximation MPPT Algorithm”, IEEE International Conference on Electronics, Circuits, and Systems (ICECS'13), 8-11 Dec 2013, United Arab Emirates, (pp. 397-400), IEEE.

101.Salah, Khaled, Hani Ragai, and Yehea Ismail. "A macro-modeling approach for through silicon via." EUROCON, 2013 IEEE, (pp. 1869 – 1870). IEEE, 2013.

102.Khaled Mohamed and Yehea Ismail, “TSV-Based On-Chip Inductive Coupling Communications” ISCAS, 2013, (pp. 1672-1675).

103.Khaled Mohamed and Yehea Ismail, “A Novel On-chip TSV-based Bandpass Filter Design” DATE, Workshop on 3D, 2013.

104.Yehya H. Ghallab, Wael Badawy and Yehea Ismail” The DeFET Sensor: Lab-on-a-Chip Integration, Environmental Impacts and Biomedical Applications” IEEE 2nd Saudi International Electronics, Communication and Photonics Conference (SIECPC 2013), Riyadh, KSA, (pp. 1-6), April 27-30, 2013.

105.Khaled Mohamed and Yehea Ismail, “Modeling and Analysis of Through Silicon Via: Electromagnetic and Device Simulation Approach” ICECS, 2012, (pp. 825-828).

106.Khaled Mohamed and Yehea Ismail, “TSV Impact on Circuit Performance and Recommended Design Methodologies” ICM, 2012, (pp. 1 – 4).

107.Eslam Yahya, Laurent Fesquet, and Marc Renaudin, “Asynchronous circuit performance analysis, fundamentals and efficient tool”. Tutorial in the The 18th IEEE International Symposium on Asynchronous Circuits and Systems “Async’12”, Copenhagen, Denmark, 2012.

108.Friedman, J. S., Rangaraju, N., Ismail, Y. I., & Wessels, B. W. (2012, May). InMnAs magnetoresistive spin-diode logic. In Proceedings of the great lakes symposium on VLSI (pp. 209-214). ACM.

109.Hussein, E. E. D., Safwat, S., Ghoneima, M., & Ismail, Y. (2012, May). A 16Gbps low power self-timed SerDes transceiver for multi-core communication. In Circuits and Systems (ISCAS), 2012 IEEE International Symposium on (pp. 1660-1663). IEEE.

110.Moataz Abdelfattah, Amr Lotfy, Mohamed Abdelsalam, Mohamed Abdel-moneum, Nasser Kurd, Maged Ghoneima, Greg Taylor, Yehea Ismail, "A NOVEL DIGITAL LOOP FILTER ARCHITECTURE FOR BANG-BANG ADPLL," 2012 IEEE International System on Chip Conference (SOCC), (pp. 1-4), Sep 2012

111.Salah, K., El Rouby, A., Ragai, H., & Ismail, Y. (2012, May). A closed form expression for TSV-based on-chip spiral inductor. In Circuits and Systems (ISCAS), 2012 IEEE International Symposium on (pp. 2325-2328). IEEE.

112.Abdelfattah, M., Ghoneima, M., Ismail, Y. I., Lotfy, A., Abdel-moneum, M., Kurd, N. A., & Taylor, G. (2012, September). Modeling the response of Bang-Bang digital PLLs to phase error perturbations. In Custom Integrated Circuits Conference (CICC), 2012 IEEE (pp. 1-4). IEEE.

113. Salem, L., & Ismail, Y. (2012, May). Switched-capacitor dc-dc converters with output inductive filter. In Circuits and Systems (ISCAS), 2012 IEEE International Symposium on (pp. 444-447). IEEE.

114. Safwat, S., Lotfy, A., Ghoneima, M., & Ismail, Y. (2012, May). A 5-10GHz low power bang-bang all digital PLL based on programmable digital loop filter. InCircuits and Systems (ISCAS), 2012 IEEE International Symposium on (pp. 1371-1374). IEEE.

115. Amr Helmy, Alaa R. Alameldeen, “Redundancy and ECC Mechanisms to Improve Energy Efficiency of On-Die Interconnects”, International Conference on Energy Aware Computing, METU NCC, Cyprus, Dec. 2012, (pp. 1 – 6)

116. Omar Haridy, Harish Krishnamurthy, Amr Helmy, Yehea Ismail, "Synthesizable Delay Line architectures for digitally controlled voltage regulators”, System-on-Chip Conference, NY, USA, Sept. 2012, (pp. 72-77).

Page 10

Page 11: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

117. Mohamed W. El Mahalawy and Yehea Ismail, “Design Methodology for Square Wave Resonant Clock Generators”, International Conference on Energy Aware Computing, METU NCC, Cyprus, Dec. 2012 (pp. 1-4)

118.Eslam Yahya, Marc Renaudin, and Laurent Fesquet “Asynchronous circuit performance analysis, fundamentals and efficient tool,” 18th IEEE International Symposium on Asynchronous Circuits and Systems, May 7-9, 2012.

119. Effect of Non-Uniform Substrate Doping Profile on The Electrical Performance of Through-Silicon-Via for Low Power Application” ICEAC, 2012. (pp. 1-4)

120. Salah, K., Rouby, A. E., Ragai, H., & Ismail, Y. (2011, December). TSV model linearization. In Microelectronics (ICM), 2011 International Conference on (pp. 1-4). IEEE.

121. M. Wahba, M. Abdel Moneim, Y. Ismail, “Supporting Circuitry for a Fully Integrated Micro Electro Mechanical (MEMS) Oscillator in 45 Nanometer CMOS Technology,” Accepted in the proceeding of VLSI-SOC conference, August 2010.

122. A. Abdallah, M. Soliman, Y. Ismail, “Counter Based CMOS Temperature Sensor for Low Frequency Applications,” Accepted in Proceedings of ThETA3, December 2010.

123. A. Wassal and Y. Ismail, “Ecosystems for Modeling and Simulating NOCs,” Accepted in Proceedings of the 2010 International Conference on Microelectronics (ICM), Dec. 2010.

124. K. Mohamed, A. ElRouby, H. Ragai, Y. Ismail, “3D/TSV Enabling Technologies for SOC/NOC: Modeling and Design Challenges,” Accepted in Proceedings of the 2010 International Conference on Microelectronics (ICM), Dec. 2010.

125. M. Ghoneima, Y. Ismail, M. Khellah, and V. De, "Low-Power Variation-Tolerant Source-Synchronous Multi-Cycle Bus," Accepted in Proceedings of the 2010 International Conference on Microelectronics (ICM), Dec. 2010.

126. R. Guindi and Y. Ismail, “ Moving Egyptian Technological Exports Up the Value Chain,” International Conference on Management of Technology (IMOT), March 2010.

127. E.O. Hussien and Ismail, Y., "A Novel Variation Insensitive Clock Distribution Methodology", Accepted in the Proceedings of the IEEE International Symposium on Circuits and Systems, May 2010.

128. Ahmed Shebaita, Dusan Petranovic, Yehea Ismail, “An S-Domain Based Framework For Accurate And Efficient Static Waveform Analysis” IEEE International Workshop for Design and Test, pp.1-6, 15-17, November 2009

129. Ahmed Shebaita, Yehea Ismail, “Lower Power, Lower Delay Design Scheme For CMOS Tapered Buffers” IEEE International Workshop for Design and Test 2009

130. Ahmed Shebaita, Dusan Petranovic, Yehea Ismail, “Figures of Merit to Characterize the Importance of Skin and Proximity Effects” IEEE International Workshop for Design and Test 2009

131. Y. Ismail, "Interconnect design and limitations in nanoscale technologies", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 780 – 783, May 2008.

132. Kirolos, S.; Massoud, Y.; Ismail, Y., "Power-supply-variation-aware timing analysis of synchronous systems", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 2418 – 2421, May 2008.

133. Kirolos, S.; Massoud, Y.; Ismail, Y., " Accurate analytical delay modeling of CMOS clock buffers considering power supply variations", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3394 – 3397, May 2008.

134. Kirolos, S.; Massoud, Y.; Ismail, Y., " Mitigating power-supply induced delay variations using self adjusting clock buffers", Proceedings of the IEEE Midwest Symposium on Circuits and Systems, pp. 446 – 449, August 2008.

135. A. Shebaita, D. Petranovic, and Y. Ismail, "A Novel Moment-Based Methodology for Accurate and Efficient Static Timing Analysis", Proceedings of the IEEE International Conference on Integrated Circuits Design and Technology, pp. 293-296, June 2008.

136. D. Khalil and Y.I. Ismail; A Global Interconnect Link Design for Many-Core Microprocessors , Proceedings of the 1st International Forum on Next-Generation Multicore/Manycore Technologies, June 2008 Article 14.

137. F. Heubbers, A. Dasdan, and Y. Ismail, “Multilayer Interconnect Performance Corners for Variation Aware Timing Analysis,” ICCAD 2007.

138. S. Ozdemir, J.C. Ku, A. Malik, G. Memik, and Y. I. Ismail, "Variable Latency Caches for Nanoscale Processors", Proceedings of the IEEE International Conference for High Performance Computing (SC-07), pp. 1385 – 138, November 2007. Best Paper Award

139. Jieyi Long, Ja Chun Ku, Seda Ogrenci Memik, Yehea Ismail “A Self-Adjusting Clock Tree Architecture to Cope with Temperature Variations,” ICCAD, 2007. Best Paper Award Finalist.

140. A. M. Shebaita, D. Petrasnovic, and Y. Ismail, “Including Inductance in Static Timing Analysis,” ICCAD, pp. 686-691, November 2007.

141. Khellah, Muhammad; Khalil, DiaaEldin; Somasekhar, Dinesh; Ismail, Yehea; Karnik, Tanay; De, Vivek; Effect of Power Supply Noise on SRAM Dynamic Stability, 2007 IEEE Symposium on VLSI Circuits, 14-16 June 2007 Page(s):76 – 77

142. A. Shebaita and Y. Ismail, "Variable Threshold Voltage Design Scheme for CMOS Tapered Buffers", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 1385 – 1388, May 2007.

143. J. Ku and Y. Ismail, "Attaining Thermal Integrity in Nanometer Chips", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3223 – 3226, May 2007 (Invited paper).

144. J. Ku and Y. Ismail, "A Compact and Accurate Temperature-Dependent Model for CMOS Circuit Delay", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3736 - 3739, May 2007.

145. D. Khalil and Y. Ismail, "Approximate Frequency Response Models for RLC Power Grids", Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 3784 – 3787, May 2007.

146. K. Meng, F. Huebbers, R. Joseph, and Y. Ismail, "Modeling and Characterizing Power Variability in Multicore Architecture", Proceeding of the IEEE International Symposium on Performance Analysis of Systems and Software, pp. 146-157, April 2007.

Page 11

Page 12: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

147. A. Shebaita, D. Das, Y. Ismail, H. Zhou, and K. Killpak, “Nostra-XTalk: A Predictive Framework for Accurate Static Timing Analysis in UDSM VLSI Circuits,” Proceedings of GLSVLSI, March 2007.

148. A.Shebaita, D. Petranovic and Y. Ismail, “Importance of Volume Discretization of Single and Coupled Interconnects,” Proceedings of ICCAD, pp. 119-126, November 2006.

149. D. Sinha, D. Khalil, H. Zhou, Y. Ismail, “A Timing Dependent Power Estimation Framework Considering Coupling,” Proceedings of ICCAD, pp. 401-407, November 2006.

150. Debasish Das, Ahmed Shebaita, Hai Zhou, Yehea Ismail and Kip Killpack. FA-STAC: A Framework for Fast and Accurate Static Timing Analysis with Coupling, IEEE International Conference on Computer Design, San Jose, CA, pp. 43 - 49 , October, 2006.

151. Bowman, Keith; Tschanz, James; Khellah, Muhammad; Ghoneima, Maged; Ismail, Yehea; De, Vivek; Time-Borrowing Multi-Cycle On-Chip Interconnects for Delay Variation Tolerance, Proceedings of the 2006 International Symposium on Low Power Electronics and Design, 2006. ISLPED'06, 4-6 Oct. 2006 Page(s):79 – 84

152. K. Meng, F. Huebbers, R. Joseph, and Y. Ismail, "Physical Resouce Matching under Power Asymmetry", P=ac2 Conference, IBM T.J. Watson Research Center, pp. 1-10, October 2006.

153. F. Huebbers, A. Dasdan, and Y. Ismail, “Computation of Accurate Interconnect Process Parameter Values for Performance Corners under Process Variations,” Proceedings of DAC, pp. 797-800, June 2006.

154. M. Ghoneima, Y. Ismail, M. Khellah, and V. De, “Reducing the Data Switching Activity of Serialized Datastreams,” Proceedings of ISCAS, pp. 1015-1018, May 2006.

155. D. Khalil and Y. Ismail, “Optimum Sizing of Power Grids for IR Drop,” Proceedings of ISCAS, pp. 481-484, May 2006.

156. J. Ku, S. Ozdemir, G. Memik, Y. Ismail, “Power Density Minimization for Highly-Associative Caches in Embedded Processors,” Proceedings of GLSVLSI, pp. 100-104, Apr-May 2006.

157. M. Ghoneima, M. Khellah, J. Tschanz, Y. Ismail, and V. De, “Reducing the Data Switching Activity on Serial Link Buses,” Proceedings of ISQED, pp. 425-432, March 2006.

158. Bowman, K.; Tschanz, J.; Khellah, M.; Ghoneima, M.; Ismail, Y.; De, V., “Time-Borrowing Multi-Cycle On-Chip Interconnects for Delay Variation Tolerance,” Proceedings of ISLPED, pp. 79-84, October 2006.

159. J. Ku and Y. Ismail, “Area Optimization for Leakage Reduction and Thermal Stability,” Proceedings of ASP-DAC, pp. 231-236, January 2006. (invited paper)

160. M. Ghoneima, M. Khellah, J. Tschanz, Y. Ismail and V. De, “Serial Link Bus: A Low Power On-Chip Bus Architecture,” Proceedings of ICCAD, pp. 541-546, November 2005.

161. A. Shebaita, C. Amin, F. Dartu, Y. Ismail, “Expanding the Frequency Range of AWE via Time Shifting”, Proceedings of ICCAD, pp. 935-938, November 2005.

162. J. Ku, S. Ozdemir, G. Memik, Y. Ismail, “Thermal Management of On-Chip Caches Through Power Density Minimization”, Proceedings of the IEEE/ACM International Symposium on Microarchitecture, pp. 283-298, November 2005.

163. M. Khellah, M. Ghoneima, J. Tschanz, Y. Ye, N. Kurd, J. Barkatullah, Y. Ismail and V. De, “A Skewed Repeater Bus Architecture for On-Chip Energy Reduction in Microprocessors,” Proceedings of the 2005 IEEE International Conference on Computer Design, pp. 253-257, October 2005.

164. M. Ghoneima, E. Atoofian, A. Baniasadi and Y. Ismail, “Low Power Prediction Based Data Transfer Architecture,” Proceedings of the 2005 IEEE CICC, pp. 313-316, September 2005.

165. J. Ku, M. Ghoneima and Y. Ismail, “The Importance of Including Thermal Effects in Estimating the Effectiveness of Power Reduction Techniques,” Proceedings of the 2005 IEEE CICC, pp. 301-302, September 2005.

166. G. Memik, M. Chowdhury, A. Mallik, Y. Ismail, “Engineering Over-Clocking: Reliability-Performance Trade-Offs for High-Performance Register Files”, Proceedings of IEEE/ACM International Conference on Dependable Systems and Networks (DSN), pp. 770-779, June - July 2005.

167. C. S. Amin, Y. I. Ismail, and F. Dartu, "Piece-wise Approximations of RLCK Circuit Responses using Moment Matching", DAC, pp.927-932, June 2005.

168. C. S. Amin, Y. I. Ismail, F. Dartu, and N. Menezes, "Statistical Timing, How Simple Can it Get? ", DAC, pp. 652-657, June 2005.

169. M. Ghoneima, M. Khellah, J. Tscahnz, Y. Ismail and V. De, “Skewing Adjacent Line Repeaters to Reduce the Delay and Energy Dissipation of On-Chip Buses,” Proceedings of the 2005 IEEE International Symposium on Circuits and Systems, pp. 592-595, May 2005.

170. M. Ghoneima and Y. Ismail, “Accurate Decoupling of Coupled On-Chip Buses,” Proceedings of the 2005 IEEE International Symposium on Circuits and Systems, pp. 4146-4149, May 2005.

171. C. S. Amin, Y. I. Ismail, F. Dartu, and N. Menezes, "Simplified Statistical Timing ", in TAU 2005.172. N. Hassan, M. Ghoneima, and Y. I. Ismail, “Physical Limitations of On-Chip Interconnect,” Great Lakes

Symposium on VLSI, pp. 13-19, April 2005.173. Y. I. Ismail and C. S. Amin, “Computation of Signal Threshold Crossing Times Directly from Higher Order

Moments,” ICCAD, pp. 246-253, November 2004.174. C. S. Amin, F. Dartu, and Y. I. Ismail, "Modeling Unbuffered Latches for Timing Analysis", ICCAD, pp. 254-260,

November 2004.175. M. Ghoneima and Y. Ismail, "Formal Derivation of Optimal Active Shielding for Low-Power On-Chip Buses",

Accepted in ICCAD, pp. 800-807, November 2004.176. Masud H. Chowdhury, Yehea I. Ismail, “Analysis of Noise and its Scalability in Dynamic Circuits,” Custom

Integrated Circuits Conference, pp. 505-508, October, 2004.177. M. Ghoneima and Y. Ismail, “Low-Power On-Chip Bus Architecture Using Dynamic Relative Delays,” Proceedings

of SOCC, pp. 233-236, September 2004.

Page 12

Page 13: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

178. M. Ghoneima and Y. Ismail, “Utilizing the Effect of Relative Delay on Energy Dissipation in Low-Power On-Chip Buses,” pp.66-69, ISLPED, August 2004.

179. M. Ghoneima and Y. Ismail, 'Effect Of Relative Delay On The Dissipated Energy In Coupled Interconnects', ISCAS, pp. II-525-8 Vol.2, May 2004.

180. M. Ghoneima and Y. Ismail , 'Low Power Coupling-Based Encoding For On-Chip Buses', pp. II-325-8 Vol.2, ISCAS, May 2004.

181. D. Dai, W. Wang, and Y. Ismail ,'Powder-Based Fabrication Techniques Of Single-Wall Carbon Nanotube Circuits', pp. III-701-4 Vol.3, ISCAS, May 2004.

182. Masud H. Chowdhury, Yehea I. Ismail, “Realistic Scalability of Noise in Dynamic Circuits,” International Workshop on System-On-Chip for Real Time Application, Canada, July 2004.

183. Masud H. Chowdhury, Yehea I. Ismail, “Possible noise failure modes in static and dynamic circuits,” International Workshop on System-On-Chip for Real Time Application, pp. 123-126, July 2004.

184. Shizhong Mei, Jamil Kawa, Charles Chiang, Yehea Ismail, "An Accurate Low Iteration Algorithm for Effective Capacitance Computation", International Workshop on System-On-Chip for Real Time Application, pp. 99-104, July 2004.

185. Chirayu S. Amin, Florentin Dartu, Yehea I. Ismail, "Weibull Based Analytical Waveform Model," Proc. of ICCAD, pp. 161-168, November 2003.

186. Chirayu S. Amin, Masud H. Chowdhury, and Yehea I. Ismail, "Realizable RLCK Circuit Crunching," Proc. of DAC, pp. 226-231, June 2003.

187. Shizhong Mei, Chirayu Amin, and Yehea I. Ismail, "Efficient Model Order Reduction Including Skin Effect," Proc. of DAC, pp. 232-237, June 2003.

188. Maged Ghoneima and Yehea Ismail,"Optimum positioning of interleaved repeaters in bidirectional buses," Proceedings of DAC, pp. 586 -591, June 2003.

189. Masud H. Chowdhury, Chirayu S. Amin, Yehea I. Ismail, Chandramouli V. Kashyap, and Byron L. Krauter, "Realizable Reduction of RLC Circuits Using Node Elimination," Proc. of ISCAS 2003, Vol III, pp. 494-497.

190. Shizhong Mei and Yehea Ismail, Modeling skin effect with reduced decoupled R-L circuits, International Symposium on Circuits and Systems 2003, Thailand, pages 588-591.

191. Masud H. Chowdhury and Yehea I. Ismail, “Analysis of Coupling Noise in Dynamic Circuits,” Proceedings of IEEE International Workshop on System on Chip (IWSOC), pp. 320-325, 2003.

192. Noha Mahmoud, Yehea Ismail, “Accurate Rise Time And Overshoot Estimation In RLC Interconnects” International Symposium on Circuits and Systems, pp. V-477-80 vol.5, 2003, Thailand.

193. M. H. Chowdhury, C. Amin, Y. I. Ismail, C. V. Kashyap, and B. L. Krauter, "Realizable Reduction of RLC Circuits," Proceedings of the IEEE International Symposium on Circuits and Systems, vol. 3, pp. III-494-97, May 2003. (invited paper)

194. Y. I. Ismail, “Efficient Model Order Reduction via Multi-point Moment Matching,” in the proceedings of the IEEE/ACM International Conference on Computer Aided Design (ICCAD), pp. 767-774, November 2002.

195. Y. I. Ismail, “Evaluating Noise Pulses in RLC Networks,” Proceedings of the 2002 IEEE International Symposium on Circuits and Systems, V-653-6 vol.5, 2002.

196. M. H. Chowdhury, Y. I. Ismail, C. V. Kashyap, and B. L. Krauter, "Performance Analysis of Deep Sub micron VLSI Circuits in the Presence of Self and Mutual Inductance," Proceedings of the 2002 IEEE International Symposium on Circuits and Systems, pp. 197-200, 2002.

197. S. Mei and Y. I. Ismail, "Efficient Model Order Reduction Including Skin Effect," International Workshop on SoC, pp. 189-192, July 2002. (invited paper)

198. M. H. Masud, S. Hsien, and Y. I. Ismail, "Circuit and Physical Level Challenges in SoC Circuits", IEEE World Multi-Conference on Systemics, Cybernetics and Informatics, 2001. (Best paper award).

199. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Exploiting On-Chip Inductance in High Speed Clock Distribution Networks,” IEEE Workshop on Signal Processing Systems, pp. 642-652, October 2000.

200. Y. I. Ismail and E. G. Friedman, “Fast and Accurate Simulation of Tree Structured Interconnect”, IEEE Midwest Symposium on Circuits and Systems, pp. 1130-4 vol.3, August 2000.

201. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Exploiting On-Chip Inductance in High Speed Clock Distribution Networks,” IEEE Workshop on SiGNAL PROCESSING SYSTEMS. SiPS 2000. Design and Implementation, pp. 643-652, October 2000.

202. Y. I. Ismail and E. G. Friedman “Sensitivity of Interconnect Delay to On-Chip Inductance,” Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 403-407, May 2000.

203. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Repeater Insertion in Tree Structured Inductive Interconnect,” Proceedings of the ACM/IEEE International Conference on Computer-Aided Design, pp. 420-424, November 1999.

204. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Optimizing RLC Tree Delays by Employing Repeater Insertion,” Proceedings of the IEEE ASIC Conference, pp. 14-18, September 1999.

205. Y. I. Ismail, E. G. Friedman, and Jose L. Neves, “Equivalent Elmore Delay for RLC Trees,” Proceedings of the ACM/IEEE Design Automation Conference, pp. 715-720, June 1999.

206. Y. I. Ismail and E. G. Friedman, “Effects of Inductance on the Propagation Delay and Repeater Insertion in VLSI Circuits,” Proceedings of the ACM/IEEE Design Automation Conference, pp. 721-724, June 1999.

207. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Signal Waveform Characterization in RLC Trees,” Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 190-193, May 1999.

208. Y. I. Ismail and E. G. Friedman, “Repeater Insertion in RLC Lines for Minimum Propagation Delay,” Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 404-407, May 1999.

Page 13

Page 14: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

209. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Inductance Effects in RLC Trees,” Proceedings of the IEEE Great Lakes Symposium on VLSI, pp. 56-59, March 1999.

210. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Transient Power in CMOS Gates Driving LC Transmission Lines,” Proceedings of the IEEE International Conference on Electronics, Circuits, and Systems, pp. 377- 383, September 1998.

211. Y. I. Ismail and E. G. Friedman, “Optimum Repeater Insertion Based on a CMOS Delay Model for On-Chip RLC Interconnect,” Proceedings of the IEEE ASIC Conference, pp. 369-373, September 1998.

212. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Power dissipated by CMOS Gates Driving Lossless Transmission Lines,” Proceedings of the IEEE International Symposium on Low-Power Electronics and Design, pp. 139-141, August 1998.

213. Y. I. Ismail, E. G. Friedman, and Jose L. Neves, “Figures of Merit to Characterize the Importance of On-Chip Inductance,” Proceedings of the IEEE/ACM Design Automation Conference, pp. 560-565, June 1998.

214. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Performance Criteria for Evaluating the Importance of On-Chip Inductance,” Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 244-247, May 1998.

215. Y. I. Ismail, E. G. Friedman, and J. L. Neves, “Dynamic and Short-Circuit Power of CMOS Gates Driving Lossless Transmission Lines,” Proceedings of the IEEE Great Lakes Symposium on VLSI, pp. 39-44, February 1998.

Activities, Honors, and MembershipsFellow of IEEE, January 2011Editor in Chief of the Transactions on Very Large Scale Integration (VLSI) Systems, January 2011- …Distinguished Lecturer of IEEE CASS Best Paper Awards (see references). 2002 Circuits and Systems Society Outstanding Young Author (see reference 89). 2002 NSF CAREER Award. 2002-2003 Best Teacher Award, ECE department, Northwestern University. On the Editorial Board of the IEEE Transactions on Circuits and Systems 1: Fundamental Theory and

Applications, 2000-2004. On the Editorial Board of the IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2000-2006. Associate Editor-in-Chief of the IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2007-2010. Chair of the IEEE VLSI Technical Committee 2005- present On the IEEE CAS Society awards subcommittee 2003-2004 On the Awards committee of ICCAD 2004 - 2007. Chair of the Gate Timing and power Subcommittee in ICCAD 2005. Technical Chair of the International Workshop on System on a Chip 2003, 2004. Technical Chair of the Great Lakes Symposium on VLSI Circuits 2005. General Chair of the Great Lakes Symposium on VLSI Circuits 2006. Editorial board of the Journal of Circuits, Systems, and Computers 2006 – present. On the technical committees of ICCAD, DAC, ISCAS, and MWCAS. Advisor to the NSF on many panels.

Synergistic Activities:Yehea Ismail is the associate Editor-in-Chief in the IEEE Transactions on Very Large Scale Integration (VLSI)

Systems. He was an associate editor of the IEEE Transactions on Very Large Scale Integration (VLSI) Systems and IEEE Transactions on Circuits and Systems I. Fundamental Theory and Applications, and guest editor for a special issue of the IEEE Transactions on Very Large Scale Integration (VLSI) Systems on “On-Chip Inductance in High Speed Integrated Circuits”. He was the Technical Chair for the IEEE International Workshop on System on a Chip, 2003, and 2004, the technical chair of the GLSVLSI 2005, and General chair of GLSVLSI 2006. He chairs the gate timing and power subcommittee in ICCAD 2005. He also conducted many tutorials in ICCAD, DAC,ISCAS, GLSVLSI, ICECS, and MWSCAS. He is on the committees of ISCAS 2000-2005, ICCAD 2004, ICCAD2005, DAC 2005, MWSCAS 2004-2005, GLSVLSI 2003-2006. He also reviewed many papers for the IEEE Transactions on Circuits and Systems II and IEEE Transactions on Computer Aided Design, and the IEE Electronics letters. He has also reviewed several conference papers for ISCAS 98-2005, ICCAD 2000-2005, ASIC 98 and 99, and GLSCAS 98-2005. The investigator conducted a panel on mixed signal design in the 2000 Midwest Symposium, chaired sessions in ISCAS 2002-2005 and ICCAD 2004, DAC 2005, IWSOC 2002, and gave presentations in several conferences including ISCAS 98-2005 DAC 98-2005, ICCAD 99-2005, IWSOC 02, and GLSVLSI 98, 99.

Tutorials and Talks1. "Design and Analysis of High-Speed Integrated Circuits Including On-Chip Inductance," IEEE/ACM International

Conference on Computer Aided Design (ICCAD), November 2002.

Page 14

Page 15: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

2. “High Performance Design Techniques in Nanometer Integrated Circuits”, IEEE International Symposium on Circuits and Systems, May 2003.

3. “New Phenomena in Integrated Circuits in the Multi GigaHz Era”, ICM, December 2003.4. Many invited talks at Intel Circuits Research Labs and Strategic CAD Labs for the last three years.5. Many Talks at IBM Austin Research Lab and Watson Research Labs.6. Many talks at Industry in companies such as LSI Logic, MultiGig, OEA, Synopsis, Motorola, and Analog Devices.7. Numerous presentations and several panels in circuit and VLSI conferences.8. Talks at several universities.

Grants1. “Managing Signal Integrity in Global Distribution Networks,” SRC, 80K, October 2000-October 20012. “Maximizing the Bit Rate of Wide Busses,” Intel, Hardware grant, equivalent 20K. December 2001.3. “High-Speed Interconnect Design,” Intel, Hardware grant, equivalent 20K. April 2002.4. “CAREER: Investigating Circuit and Physical Level Issues in High-Performance Deep Submicrometer Circuits”,

NSF, CAREER Award, 400K. October 2002-October 2008.5. “Integrated Frequency Dependent Modeling and Extraction of Coupled Signal, Clock, and Power Lines”, SRC,

150K. April 2003- April 2006.6. “Exploring Possibilities for Carbon Nanotubes as Circuits and Interconnects”, NSF, 100K. June 2004-June 2005.7. “Improving the Accuracy of Timing Verification Tools”, Intel, 75K. Gift Starting from June 2003.8. “Optimizing the Delay, Power Consumption, and Noise of On-Chip Busses”, Intel, 120K. Gift Starting from April

2003, April 2004, April 2005.9. Research Gift in the general research area of high frequency circuit design, MultiGIG, 65K. Gift received on

October 2004.10. Intel Fellowship for my student Maged Ghoneima, 45K worth. Gift received on September 2004.11. “DTEL: Proof of concept chip for the digital telescope”, Argonne National Labs, 250K, September 2006.12. “Self-Adjusting Architectures for Improved Performance, Yield, and Reduced Design Time”, NSF, 400K, with

Gokhan Memik, July 2006-July 2009.13. “Interconnect Design for Many-Core and 3-D Chips”, Intel, 120K, Gift starting from September 2006.14. “Simultaneous design of clock and power distribution networks”, Intel 120K, Gift starting from, April 2006.15. Cypress equipment donation ($150,000 value), 2008.16. Intel Equipment Grant in support of the Nanoelectronics Integrated Systems Center, 150K, November 2008.

17. British Petroleum, 10 fellowship grants for student in the NISC Center, 400K, 2008-2010.18. Intel Student Training Grant (paid for 4 of my student training in Oregon for a year), 200K, 2009.19. “Investigating Remote Capacitive Sensing Techniques,” 45K, Gift from Ferveo Tech, 2009.20. “Design and Fabrication of an Ultra Low Power Bluetooth Chip,” Information Technology Development Fund,

550K$, 2009-2012. (Amount is 3,000,000 LE converted to dollars above. Y. Ismail PI)21. British Petroleum, 10 fellowship grants for student in the NISC Center, 400K, 2009-2011.22. “Investigation of DC-DC converter on-chip and NOCs,” Intel, 300K, February 2010-February 2012.23. Intel Student Training Grant (paid for 4 of my student training in Oregon for a year), 200K, 2010.24. “Reliable Design of Electronics on the Nano-Scale,” $180K, Egyptian Science and Technology Development

Fund (STDF), February 2010- February 2012 (amount is 1,000,000 LE converted to dollars above. Y. Ismail PI)25. “Design Methodologies for Networks on Chip at the Physical Level,” $180K, Egyptian Science and Technology

Development Fund (STDF), April 2010- April 2012 (amount is 1,000,000 LE converted to dollars above. Y. Ismail PI)

26. “Seed Funding for NISC in the Printed Electronics Area,” Egyptian National Telecommunications Regulatory Authority (NTRA), $1.4 Million, 2010-2013. (Amount is 1.85 Million LE per year for 3 years plus one-time 2 Million LE equipment grant converted to dollars above. Y. Ismail PI)

27. “Water Saving Systems Based on Novel MEMs Technology,” European Union Fund to Egypt (RDI), $70K, 2010-2012. (NISC’s Share 45K Euro converted to dollars above, PI Mohamed Nafee at Nile, Ismail Co-PI. Total project fund is 200K Euro Shared with Si-Vision and MEMs Vision (companies)

28. ASRT Grant with ERI, 10 M EGP total, CND share at ZC and AUC is 3.2 M EGP.29. Funding by Zewail City of Science and Technology, $900K, 2012-present

Page 15

Page 16: [Name] · Web viewYehya H. Ghallab and Yehea Ismail” Biochips: Sensing Techniques, Recent Advances and Market Growth,” Industry Academic Collaboration Conference, IAC 2014, pp.

30. “Modeling of Through-Silicon-Vias,” Mentor Graphics, $60K, 2012-2013.31. “DEMORA, Decomposable Model Order Reduction,” Mentor Graphics, $60K32. Intel grant, “Hybrid DC-DC converter architectures”, $60K, 201133. “The Development of a Portable Biochip for Diseases Identification and Detection”, ASRT,1 million LE.34. MCIT training grant from ITI, 1.3 M EGP, 2013 – 201435. ITAC Proposal with Si-Vision (company), 1.8 M LE 36. Semiconductor Research Corporation, $200K including 50% matching from AUC37. Solar Panel Characterizer, Y. Ismail and A. Helmy, ITAC Collaborative Research Fund as an [PRP], May 2014,

130K EGP. 38. Self-Powered FPGA for Rapid Prototyping for Wireless Sensor Nodes (WSNs), Hamdy Abdelhamid, Amr Helmy

ans Yehea Ismail, ITAC Collaborative Research Fund as an [PRP], 2015, 130K EGP.39. A Microfluidic Platform for Liver Cancer Cells Detection, Identification and Sorting, Y. Ismail, Yehya Ghallab and

A. Helmy, ITAC Collaborative Research Fund as an [PRP], May 2014, 130K EGP.40. An IoT platform for Wi-Fi, Y. Ismail, Yasser Gaddalah, Eslam Yehya, ITAC Collaborative Research Fund as an

[PRP], May 2014, 130K EGP.41. “Reconfigurable RF resonators for Wireless Sensor Nodes” Information Technology Industry Development

Agency (ITIDA), Egypt, 2015-2017 ($30,000)42. An Agreement between Khalifa University of Science, Technology, and Research (KUSTAR) and the center of

Nanolecronics and Devices / American University in Cairo (AUC) – SRC “SemiConductor Research Cooperation” to implement chips, access to global foundries CMOS 65nm technology, access from standard cells that are provided from ARM in addition to fabrication, Y. Ismail, Eslam Yahya, Hassan Mostafa, A. Helmy, Mohamed Ismail, Baker Mohamed and Hany Saleh, March 2014.

43. Mentor Graphics’ Systems Donation to the Center of Nanoelectronics and Devices. The donation will provide a state of the art emulator to validate a design, the licensing and support of associated software and technical data, including operating system software and firmware, May 2014, donation of 80K USD, actual market value $1.5 million.

Graduate StudentsAt Northwestern and Nile University, more than 40 students were graduated: 21 Ph.D. students and 24 Masters Students. At AUC, 23 Masters Students were graduated. In addition, 7 students are currently enrolled for Master Theses and 5 for Ph.D. at AUC. Finally, 23 Students are co-advised by CND members who are enrolled for Masters and Ph.D. at other Egyptian Universities.

TeachingConsistently scored above 5 in CTEC scores at Northwestern with very positive comments from students (see http://aquavite.northwestern.edu/ctec/ctec-view.cgi?netid=yis335). I was also most frequently cited for the last 5 years at NU by the students in an exit survey among the best professors they had. Received best teacher award from the ECE department at NU in 2002-2003. At AUC, I consistently scored more than 4.5/5 in student evaluations with very positive comments.

Citation IndicesAll citations are 3625, 1285 since 2012, h-index for all is 30 and 17 since 2012, i10-index for all is 74 and 33 since 2012.

Page 16