MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa...

106
MODELING AND CHARACTERIZATION OF THROUGH-SILICION VIAS IN 3D INTEGRATED CIRCUITS A Thesis Presented to the Faculty of Nile University In Partial Fulfillment of the Requirements for the Degree of Master of Science in Micro-electronics System Design By Karim Ahmed Tarek Raouf Amin July 2012

Transcript of MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa...

Page 1: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

MODELING AND CHARACTERIZATION OF THROUGH-SILICION VIAS

IN 3D INTEGRATED CIRCUITS

A Thesis Presented to the Faculty

of

Nile University

In Partial Fulfillment

of the Requirements for the Degree

of Master of Science

in Micro-electronics System Design

By

Karim Ahmed Tarek Raouf Amin

July 2012

Page 2: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

CERTIFICATION OF APPROVAL

MODELING AND CHARACTERIZATION OF THROUGH-SILICION VIAS

IN 3D INTEGRATED CIRCUITS

by

Karim Ahmed Tarek Raouf Amin

_____________________________________ ______________________

Dr. Rafik Guindi (Chair) Date

Associate Professor of Electronics Engineering

_____________________________________ ______________________

Dr. Yehea Ismail Date

Associate Professor of Electrical Engineering

_____________________________________ ______________________

Dr. Ahmed Radwan Date

Associate Professor at Nile University

_____________________________________ ______________________

Dr. Alaa El-Rouby Date

Assistant Professor of Electronics Engineering

Page 3: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

© 2012

Karim Ahmed Tarek Raouf Amin

ALL RIGHTS RESERVED

Page 4: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

To my family

Page 5: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

v

ACKNOWLEDGEMENTS

All my gratitude is due to Allah, first and foremost; and then I would like to express

my gratitude to the people who inspired and encouraged me during my MSc. research in

Nile University. Without their supports, my thesis would not have been completed.

Firstly, I would like to strongly thank the thesis committee and specially Professor

Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

giving me an invaluable opportunity to work in a collaborated research with Mentor

Graphics. With their insight based on industrial and theoretical background, my research

could be guided in the right way. They were so helpful, inspiring and creative in offering

solutions to all obstacles faced while conducting the research work of this thesis. Their

enthusiasm for research became my role model as an engineering researcher.

Secondly, Professor Serag El-Din Habib, through his enormous experience, gave

me useful hints to get the research done in a more productive level. He has been also my

supervisor during my graduation project and I’ve learnt a lot of things from him

personally.

Finally, I would like to give my sincere thanks to my family. All of my

accomplishments through my life have been realized by endless loves and supports of my

mother and sister. My mother showed me her positive attitude to life and encouraged me

whenever I was in difficult time. Also, I got married during my M.Sc., so I’d like thank

Page 6: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

vi

also my wife for being supportive and for enduring my habit to work at night for long

hours sitting in front of my computer. Her love and friendship are great gifts in my life. I

wish all my family health and happiness.

Page 7: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

vii

PREFACE

Chapter 1 is an introduction to the importance of interconnects as the technology

advances and shows that TSV is a promising interconnect for 3D ICs.

Chapter 2 is the outcome of a collaborative research with Mentor Graphics under the

supervision of Professor Alaa El Rouby and Professor Yehea Ismail that is conducted by

Eng. Khaled Salah and me. Both the skin effect and frequency range are stated in this

chapter. My contribution in this chapter is the comparison of the transient response of the

proposed Lumped Element Model against EM Simulations.

In Chapter 3, the TSV structure is analyzed with more focus on the capacitance

parasitic. Several design parameters such as biasing voltage, high/low frequencies, TSV

dimensions (metal radius, oxide thickness), TSV metal, temperature of operation,

substrate doping level, Si-Oxide interface charges and other substrate materials are

investigated through device simulations. To make use of all the previous simulations, two

empirical capacitance models, guided by the laws of physics, are proposed. One of these

models is Physical-dimensions dependent and the other is Temperature-and-Physical

dimensions dependent. Moreover, the effect of coupling capacitive, resistive and

inductive for different sizes of TSV arrays is demonstrated in this chapter.

In Chapter 4, an RC temperature-dependent model is formed to show the effect of

temperature on the transient response of a signal carried by a TSV. In addition, a Body

Page 8: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

viii

Contact Algorithm is proposed because of the strong effect of number and distance of

body contacts surrounding a TSV. This algorithm simplifies the TSV structure by taking

into account the simplest configuration of body contacts. This is done through reducing

number of body contacts by taking into account the body contacts which have a major

effect only with an acceptable degradation in accuracy.

In Chapter 5, main contributions of this work and suggested improvements are

stated. Also, publications out of this work is mentioned.

Page 9: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

ix

TABLE OF CONTENTS

Acknowledgements ............................................................................................................. v

Preface............................................................................................................................... vii

Table of Contents ............................................................................................................... ix

List of Tables .................................................................................................................... xii

List of Figures .................................................................................................................. xiii

Abstract ............................................................................................................................ xvi

1 Chapter 1: Introduction ............................................................................................... 1

1.1 The Interconnect, an Old Friend .......................................................................... 2

1.1.1 Material Level ............................................................................................... 3

1.1.2 Circuit Level ................................................................................................. 4

1.1.3 Architectural Level ....................................................................................... 5

1.2 Three-Dimensional Integration ............................................................................ 5

1.2.1 Pros ............................................................................................................... 6

1.2.2 Cons and Challenges for 3-D Integration ..................................................... 8

1.3 The Interconnect in 3-D Integration ................................................................... 11

1.3.1 Bonding Wire Interconnections .................................................................. 12

1.3.2 Through-Silicon Via (TSV) Interconnections ............................................ 13

1.4 Conclusion .......................................................................................................... 15

2 Chapter 2: Literature Review and Collaborated Research ........................................ 16

2.1 Introduction ........................................................................................................ 17

2.2 Physical TSV Modeling ..................................................................................... 20

2.2.1 Physics-Based Lumped Element Model ..................................................... 21

2.2.2 Nonlinearities (MOS Effect) of a TSV ....................................................... 22

2.2.3 Electromagnetic Field Solving .................................................................... 26

2.3 Closed Form Expressions for the Model Elements ............................................ 28

2.4 Proposed Lumped Element Model Validation and Analysis ............................. 34

Page 10: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

x

2.4.1 Validation against Electromagnetic Simulation .......................................... 34

2.4.2 Impact of the TSV on Device Performance ................................................ 37

2.4.3 Body Contact Impact .................................................................................. 39

2.4.4 Validation against Device Simulation ........................................................ 39

2.5 Conclusion .......................................................................................................... 41

3 Chapter 3: Analyzing TSV Structure and proposing models.................................... 43

3.1 Device Architecture............................................................................................ 43

3.2 Nonlinear Dependence of TSV Capacitance on Biasing Voltage ...................... 44

3.3 Nonlinear Capacitance of TSV at High Frequencies (n-type substrate) ............ 48

3.4 Nonlinear Capacitance of TSV at Low Frequencies (n-type substrate) ............. 49

3.5 TSV Capacitance as a Function of Frequency ................................................... 50

3.5.1 Verify the Analogy between TSV and MOS Capacitor .............................. 53

3.6 Depletion Region Width and its Relation with TSV Applied Voltage .............. 54

3.7 Studying the Effect of TSV Parameters on its Parasitics ................................... 56

3.7.1 TSV Radius (n-type & p-type) .................................................................... 56

3.7.2 TSV Oxide Thickness (n-type & p-type) .................................................... 58

3.7.3 Substrate Doping Concentration and Si-Oxide Interface Charge ............... 60

3.7.4 Temperature ................................................................................................ 61

3.7.5 TSV Metal Materials and Other Substrate Materials .................................. 62

3.7.6 Temperature-and/or-Physical Dimensions Dependent Capacitance Model 64

3.8 TSV Array Coupling .......................................................................................... 68

3.8.1 Resistive Coupling (5x5) ............................................................................ 68

3.8.2 Capacitive Coupling (5x5 and 9x9) ............................................................ 70

3.8.3 Inductive Coupling (5x5 and 9x9) .............................................................. 72

4 Chapter 4: Temperature-dependent RC Model and body contact impact on TSV

modeling ........................................................................................................................... 74

4.1 Temperature-Dependent RC Model ................................................................... 74

4.2 Body Contact Effect ........................................................................................... 76

4.3 Proposed Body Contact Algorithm .................................................................... 76

5 Chapter 5: Conclusions ............................................................................................. 80

Page 11: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xi

5.1 Contributions ...................................................................................................... 80

5.2 Future Work ....................................................................................................... 81

5.3 Publications ........................................................................................................ 82

6 List of Reference ....................................................................................................... 83

Page 12: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xii

LIST OF TABLES

Table ‎2-I TSV PHYSICAL PARAMETERS IN A TYPICAL CURRENT TECHNOLOGY ..................... 18 Table ‎2-II THE CONTRIBUTIONS OF THIS WORK AS COMPARED TO PREVIOUS RELATED

PUBLICATIONS SHOWING THE AREAS COVERED IN EACH PUBLICATION ............................... 19 Table ‎2-III THE PROPOSED MODEL LUMPED ELEMENTS AND ITS PHYSICAL

INTERPRETATION ..................................................................................................................................... 22 Table ‎2-IV COMPARISON BETWEEN DIFFERENT TYPES OF ELECTROMAGNETIC

SIMULATORS ............................................................................................................................................. 27 Table ‎2-V PERCENT ERROR BETWEEN THE QUASI-STATIC AND THE PROPOSED LUMPED

ELEMENT MODEL SIMULATIONS FOR ......................................................................................... 35 Table ‎2-VI EXTRACTED VALUES FOR R, L, AND C of a TSV FROM THE CLOSED FORM

FORMULAS ( , = 5 ) ............................................... 35 Table ‎3-I GLOBAL AND LOCAL TSV DIMENSIONS ACCORDING TO ITRS ..................................... 62 Table ‎3-II VALUES OF CURVE FITTING PARAMETERS IN THE PROPOSED PHYSICAL

DIMENSIONS TSV DEPENDENT MODEL .............................................................................................. 65 Table ‎3-III PERCENTAGE ERROR BETWEEN THE PROPOSED EMPIRICAL CAPACITANCE

MODEL AGAINST THE ACTUAL EXTRACTED CAPACITANCE FROM DEVICE SIMULATIONS

FOR THE PHYSICAL DIMENSIONS DEPENDENT MODEL ................................................................. 66 Table ‎3-IV VALUES OF CURVE FITTING PARAMETERS IN THE PROPOSED TEMPERATURE-

AND-PHYSICAL DIMENSIONS TSV DEPENDENT MODEL ................................................................ 66 TABLE ‎3-V PERCENTAGE ERROR BETWEEN THE PROPOSED EMPIRICAL CAPACITANCE

MODEL AGAINST THE ACTUAL EXTRACTED CAPACITANCE FROM DEVICE SIMULATIONS

FOR THE TEMPERATURE-AND-PHYSICAL DIMENSIONS TSV DEPENDENT MODEL ................ 68 Table ‎4-I VARIATION OF CAPACITANCE WITH RESPECT TO THE INITIAL CASE ....................... 78

Page 13: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xiii

LIST OF FIGURES

Figure ‎1.1 The first planar integrated circuit ................................................................................................... 2 Figure ‎1.2 Interconnect shielding to improve signal integrity: (a) single-sided and (b) doublesided

shielding. The shield and signal lines are illustrated by the grey and white color, respectively. .................... 4 Figure ‎1.3 Repeaters are inserted at specific distances to improve the interconnect delay. ............................ 4 Figure ‎1.4 Interconnect architecture including local, semi-global, and global tiers. The metal layers on each

tier are of different thicknesses........................................................................................................................ 5 Figure ‎1.5 Reduction in wirelength where the original 2-D circuit is implemented in two and four planes. .. 7 Figure ‎1.6 An example of a heterogeneous 3-D system-on-chip comprising sensor and processing planes. .. 8 Figure ‎1.7 Examples of SiP structures with interconnection elements .......................................................... 11 Figure ‎1.8 Bonding wires interconnections ................................................................................................... 12 Figure ‎1.9 A General Bulk CMOS 3-D Stack Arrangement ......................................................................... 12 Figure ‎1.10 An example of 3-D bonding wire bond integration. (Photo courtesy of Amkor Technology,

Inc.) ............................................................................................................................................................... 13 Figure ‎1.11 An example of a fabricated TSV array. ...................................................................................... 14 Figure ‎2.1 The typical TSV (a) 3D view (b) Top view (c) Side view ........................................................... 17 Figure ‎2.2 The Proposed Methodology for TSV modeling. .......................................................................... 20 Figure ‎2.3 The proposed lumped model for a TSV based on a single structure. The model is composed

of , , , , , and . ....................................................................................... 21 Figure ‎2.4. Top view of a single TSV including a depletion region. ............................................................. 23 Figure ‎2.5 Depletion capacitance change with respect to the voltage applied on the TSV (p-type Si). ........ 26 Figure ‎2.6 Depletion resistance change with respect to the voltage applied on the TSV (p-type Si). ........... 26 Figure ‎2.7 Comparison between the S21 for a TSV produced by a full-wave simulator (HFSS) and a quasi-

static simulator (Q3D) ( ). ................................................................................ 28 Figure ‎2.8 The proposed equivalent circuit model of the voltage dependent capacitance ............................ 32 Figure ‎2.9 The proposed equivalent circuit model of the voltage dependent resistance ............................... 33 Figure ‎2.10 A comparison of the quasi-static EM simulations against the proposed lumped element model

simulations. ................................................................................................................................................... 35 Figure ‎2.11 Impact of the distance of the body contact on the substrate depletion capacitance. .................. 36 Figure ‎2.12 Impact of the distance of the body contact on the substrate depletion resistance. ..................... 36 Figure ‎2.13 Simplified circuit model for the TSV with all inductors short-circuited and all resistors open-

circuited. ........................................................................................................................................................ 37 Figure ‎2.15 Simulated voltage waveforms of the actual output voltage (Vout which is measured from port 2

in Figure ‎2.3) vs. the output from the simplified model (Vout_simplified which is measured from port 2 in

Figure 2.13) when a 5v pulse voltage source (VSource) is applied with an internal resistance .

....................................................................................................................................................................... 38 Figure ‎2.14 Simulated voltage waveforms of the actual output voltage (Vout which is measured from port 2

in Figure ‎2.3) vs. the output from the simplified model (Vout_simplified which is measured from port 2 in

Figure 2.13) when a 5v pulse voltage source (VSource) is applied with an internal resistance .

....................................................................................................................................................................... 38 Figure ‎2.16 Top view of a single TSV including a virtual ground, due to body contacts. ............................ 39 Figure ‎2.17 A comparison of the device simulations against the proposed lumped element model

simulations. ................................................................................................................................................... 40 Figure ‎3.1 Cross section of a single TSV including a depletion region ........................................................ 43

Page 14: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xiv

Figure ‎3.2 Top view of a single TSV illustrating different capacitances and the arbitrary distance for a body

contact. .......................................................................................................................................................... 46 Figure ‎3.3 Four-body contacts configuation .................................................................................................. 46 Figure ‎3.4 The through silicon via structure assuming a uniform circular cross section of cupper surrounded

by an oxide inside a silicon substrate (a) 3D view (b) Top view (c) Side view. ........................................... 47 Figure ‎3.5 CV characteristics of TSV with a varying distance of body contacts surrounding the TSV in n-

type substrate at high frquency ...................................................................................................................... 48 Figure ‎3.6 Nonlinear total capcitance of TSV with 4 body contacts configuration in an n-type substrate at

low frequency ................................................................................................................................................ 49 Figure ‎3.7 CV characteristics of TSV with a varying number of body contacts surrounding the TSV in n-

type substrate at high frquency ...................................................................................................................... 49 Figure ‎3.8 Typical C-V relationship for an MOS capacitor with p-type silicon substrate, and n-type silicon

substrate [41] ................................................................................................................................................. 51 Figure ‎3.9 Capacitance-voltage (C-V) curve of a MOS capacitor under (A) accumulation, (B) depletion,

and (C)-(E) inversion. Curve (C) is at low frequency and (D) at high frequency. [41] ................................ 51 Figure ‎3.10 Simultion of the CV characteristics of the TSV at low and high frequencies to verify the

analogy between the TSV and the MOS capacitor ........................................................................................ 53 Figure ‎3.11 Total capacitance of the oxide and the depletion region in the substrate ................................... 54 Figure ‎3.12 Depletion region width surrounding the oxide around the TSV metal vs the voltage applied on

the TSV ......................................................................................................................................................... 55 Figure ‎3.13 Effect of increasing TSV radius, , on the TSV C-V characteristics with 4 body contacts in

an n-type substrate where , and ................................. 56 Figure ‎3.14 Effect of increasing TSV radius , on the TSV C-V characteristics with 4 body contacts

configuration in a p-type substrate where , and ........ 57 Figure ‎3.15 Effect of varying TSV oxide thickness , on the TSV C-V characteristics with a 4 body

contacts configuration in a n-type substrate where and ....................................................................................................................................................................... 58

Figure ‎3.16 Effect of varying TSV oxide thickness , on the TSV C-V characteristics with 4 body

contacts configuration in a p-type substrate where and ......................................................................................................................................................... 59 Figure ‎3.17 Varying the level of doping in the substrate and the density of the Si-Oxide interface charge .. 60 Figure ‎3.18 Varying the temperature of operation of the substrate surrounding the TSV ............................. 61 Figure ‎3.19 Varying Oxide charges, substrate material and TSV metal for Local TSVs ........................................................................................................... 63 Figure ‎3.20 Varying Oxide charges, substrate material and TSV metal for Global TSVs .......................................................................................... 63 Figure ‎3.21 Different TSV metals having a different work functions. The higher the work function, the

more the CV characteristics shifts to the right. This simulation is done at oxide thickness of ............. 64 Figure ‎3.22 Normalized resistive coupling with respect to the central TSV for a 5-by-5 TSV array............ 68 Figure ‎3.23 Normalized capacitive coupling with respect to the central TSV for a 5-by-5 TSV array ......... 70 Figure ‎3.24 Normalized capacitive coupling with respect to the central TSV for a 9-by-9 TSV array ......... 71 Figure ‎3.25 Normalized inductive coupling with respect to the central TSV for a 5-by-5 TSV array .......... 72 Figure ‎3.26 Normalized inductive coupling with respect to the central TSV for a 9-by-9 TSV array .......... 73 Figure ‎4.1 RC Temperature-dependent model for TSV .................................................................................... Figure ‎4.2 Transient response of the TSV RC-Temperature dependent model at different temperatures in ....................................................................................................................................................................... 75 Figure ‎4.3 Proposed dominant body contact algorithm. The chosen body contact is marked by an asterisk.

....................................................................................................................................................................... 77 Figure ‎4.4 Rotating an additional body contact (Hollow red square) with respect to a reference body contact

(marked with an asterisk) and calculating the TSV capacitance every of rotation. .................................. 78

Page 15: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xv

Figure ‎4.5 Variation of 10% with respect to the initial case when the introduced body contact is rotated in both directions. .......................................................................................................................................... 78

Page 16: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xvi

ABSTRACT

s the convergence of multiple functions in a single electronic device drives

current electronic trends, the need for increasing integration density is becoming

more emphasized than in the past. To keep up with the industrial need and realize the

new system integration law, three-dimensional (3-D) integration called System-on-

Package (SoP) is becoming necessary. Through Silicon Vias are promising interconnects

for 3D ICs. Their importance is increasing along with technology scaling. However, the

commercialization of 3-D integration should overcome several technical barriers, one of

which is the modeling of TSVs and taking coupling between several TSVs into account.

The purpose of this study is the modeling and characterization of TSVs in 3-D ICs

specifically the parasitic capacitance of TSVs (inductance and resistance are considered

out of scope of this study and aren’t studied thoroughly); and beside that, design

guidelines for TSVs in 3D ICs are proposed. The research started by analyzing a single

TSV and its C-V (Capacitance-Voltage) characteristics while varying different

parameters such as biasing voltage, high and low frequencies, TSV dimensions (metal

radius, oxide thickness), TSV metal, temperature of operation, substrate doping level, Si-

Oxide interface charges and other substrate materials.. Then normalized capacitive,

resistive and inductive coupling are investigated for different sizes of TSV arrays.

A

Page 17: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

xvii

The study proposes two empirical models for the capacitance parasitic which

dominates the performance of TSVs. The first model is a Physical-dimensions dependent

model at a specific temperature and the other one is a Temperature-and-Physical

dimensions dependent model. The values obtained from the two models are compared

against the values extracted from device simulations.

At the end of the study, an algorithm is proposed to take into account the effect of

body contacts on the TSV capacitance parasitic. Since the configurations of body

contacts’ placement around the TSV can be infinite in terms of the number of body

contacts and their distance from the TSV, the previous algorithm was proposed. This

algorithm simplifies TSV structures by reducing the number of body contacts through

considering the body contacts only with higher effect on the TSV parasitic capacitance.

The parasitic capacitance is calculated for the simplified TSV structure with an

acceptable percent error.

Page 18: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

1

1 CHAPTER 1: INTRODUCTION

ver the past century electronics has experienced tremendous growth. During that

period of time, the usage of electronic merchandise has increased while the size

of these products has decreased. The transition from micro- to nano-scale has further

enhanced the applicability of the electronic products into new eras, where were

previously precluded due the prohibitive size of the electronic systems. The seed that

caused this growth – the “big-bang” of electronics – was a grain of germanium on which

the point contact transistor was fabricated for the first time in 1947 by J. Bardeen, W.

Brattain, and W. Shockley [1].

The invention of the transistor was very appealing to the interests of scientists and

engineers to the extent that they focused their research on developing the semiconductor

devices to replace the bulky, power-hungry, and low-performance vacuum tubes and

electromechanical relay on which most of the electronic products were based on in the

pre-transistor era [2]. Through the decades following the invention of the transistor the

semiconductor industry has gone through a tremendous growth due to fact that the

semiconductor devices are ubiquitous and that they affected every component of our

society.

For example, electronic circuits are used to build up computers; from desktops

and laptops to mainframe supercomputers. Also, electronic sensors, currently

manufactured from MEMS (Micro Electro-Mechanical Systems), are the main

component of highly sophisticated security systems for automobiles, buildings, factories

O

Page 19: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

2

and many other institutions. The sensors act as a safeguard against any possible machine

failure that threatens the live of any human being. Moreover, electronic devices can act as

a tiny sized-object for media storage for a huge amount of data that used to be stored in a

massive amount of paper documents. In addition, communications is another branch of

science that benefited enormously from the electronics revolution. Without the robust and

power transistor, communication systems like satellites, GPS (Global Positioning

Systems), cells phones, and the internet would have been rather primitive, if not

impossible.

Science and engineering might have benefited the most from the flourishing

semiconductor industry and the micro-electronics revolution [3]. Computations are now

solved in a fraction of a second. Enormous number of computer programs, software and

hardware measurement tools have been developed with the aid of the evolution of the

electronic industry. Additionally, tools that expanded our knowledge of the environment

and nature have been invented. Novel applications further boosted the semiconductor

industry, returning gigantic revenues that helped establish and fuel industrial R&D.

1.1 The Interconnect, an Old Friend

After the invention of the transistor in 1947, the circuits designed using transistors

Figure 1.1 The first planar integrated circuit

Page 20: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

3

were very primitive and application-specific for cost considerations. As the complexity of

the circuits increased, a need for a more compact form of the electronic circuit was

mandatory. Consequently, the first planar IC (integrated circuit) evolved. Furthermore,

the profound need for a general rather than specific-purpose circuit lead to the evolution

of 4004 microprocessor by M. E. Hoff Jr., an engineer at Intel. The trend of scaling the

transistor to a smaller size started with appearance of the first microprocessor in order to

be able put as much active devices as possible on a single die of silicon. From a

performance point of view, the transistor dominated the delay characteristics. That trend

continued through the three decades following the invention of the transistor as the

benefits gained from down-sizing the transistor were much greater than that gained from

altering the dimensions of the interconnections between the active devices. With the

continuous technology scaling, the interconnect delay, power, and noise grew in

importance [4], [5]. Therefore, various methodologies have been developed to address

interconnects challenges at material, circuit, and architectural level. Some of these

methodologies are discussed in the subsections that follow.

1.1.1 Material Level

Manufacturing innovations such as the introduction of copper and low-k materials

helped to prolong the gains reaped from technology scaling of the transistor [6] [7] [8] [9]

[10]. These gains are due to the lower resistivity of copper compared to aluminum and

low dielectric permittivity of new insulator material as compared to silicon dioxide.

Page 21: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

4

1.1.2 Circuit Level

1.1.2.1 Shielding

Shielding [11] is an effective technique used to reduce crosstalk among adjacent

interconnects. Single or double-sided, as depicted in Figure 1.2, is commonly used to

improve signal integrity.

1.1.2.2 Buffer(or Repeater) Insertion

Repeater insertion [12] effectively converts the square dependence of the propagation

delay on the interconnect length to linear dependence, as shown in Figure 1.3.

Figure 1.2 Interconnect shielding to improve signal integrity: (a) single-sided and (b) doublesided shielding. The

shield and signal lines are illustrated by the grey and white color, respectively.

Figure 1.3 Repeaters are inserted at specific distances to improve the interconnect delay.

Page 22: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

5

1.1.2.3 Interconnect Sizing and Multitier Architecture

Wider wires indicate lower interconnect resistance. Although regular wire sizing

has an adverse effect on dissipated power, proper wire sizing [13] [14] techniques can

achieve lower power consumption. Wire sizing can be applied according to each layer

distinctly according to the type of routed signals in each layer, this technique is called

multitier interconnect architectures [15] [16] and is depicted in Figure 1.4.

1.1.3 Architectural Level

At higher abstraction levels, one of the techniques that can be applied to the required

interconnect objectives is the volumetric integration by exploiting the third dimension

which was found to improve the performance gigantically. The unique opportunities that

three-dimensional integration offers and the challenges and complexity arising from

introducing these vertical interconnects are discussed in the following section.

1.2 Three-Dimensional Integration

Historically, successful fabrication of vertically integrated devices dates back to the

early 1980s [17]. In the years following 1980, research on three-dimensional integration

Figure 1.4 Interconnect architecture including local, semi-global, and global tiers. The metal layers on each tier are of

different thicknesses.

Page 23: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

6

wasn’t of a scientific interest. Due to the increasing importance of the interconnect and

the demand for a greater functionality and performance, 3D integration became of high

research interest over the last five years. Hereunder, advantages of 3D implementation of

interconnects against challenges facing them are presented to see the reasons behind the

3D interconnect to be a promising solution.

1.2.1 Pros

1.2.1.1 Reduced Wire Length

The quintessence of three-dimensional integration is the extreme noticeable

reduction in the length of the longest interconnects along a chip. A common metric for

determining the longest interconnect is to consider twice the length of a die edge as the

longest interconnect. This’s illustrated in Figure 1.5. It can be noticed from Figure 1.5

that the wire length exhibits a reduction proportional to √ , where is the number of

dies or physical planes that can be integrated into a 3-D system [18]. The final footprint

of the packaged system is smaller for 3D implementation. This considerable decrease in

the wire length has a drastic effect in decreasing the power consumption in ICs while

providing a promising solution to increase both the speed and performance of integrated

circuits. In [19], wire length reduction alone is reported to reduce the interconnect energy

and propagation delay by up to 51% and 54%, respectively, at the technology

node.

Page 24: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

7

Figure ‎1.5 Reduction in wirelength where the original 2-D circuit is implemented in two and four planes.

1.2.1.2 Heterogeneity, Robustness and Capability

Another lead of the three-dimensional integration of greater importance than the

reduction in the interconnect length is the ability to integrate heterogeneous technologies

in a single package. This feature offers the opportunity to integrate high-performance

disparate dies together in the same package providing significantly improved

performance which was affordable when compared to the two-dimensional

implementation of the same system [20], [21]. Figure 1.6 illustrates the vertical

integration of heterogeneous dies and physical structures like sensors and antenna. Three-

dimensional integration aids in the solution for noise isolation as it separates the

analog/RF and digital circuits into different substrates, with the metal or dielectric

bonding layer used in wafer-bonding technology providing an effective guard-ring [22].

Page 25: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

8

1.2.2 Cons and Challenges for 3-D Integration

Developing a design in a 3-D architecture is very complicated and has many

ramifications. Certain challenges have to be met in both front end and back end of the

design flow in order to achieve a large scale production level using 3-D integration

technology. Several challenges for successful 3-D integration are listed below.

1.2.2.1 Thermal Issues

A fundamental concern for 3-D designs is their thermal effects. Poor thermal

conductivity, heat dissipation, and the resultant temperature rise due to higher power

density are considered main obstacles slowing the development of 3-D integration [23].

A well-known method to transfer heat out of the stack is to use thermal vias, or

sometimes they are called dummy vias because their only responsibility is to carry heat

Figure 1.6 An example of a heterogeneous 3-D system-on-chip comprising sensor

and processing planes.

Page 26: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

9

out of the stack, dummy vias don’t carry any signals, rather than being stuck inside

ultimately leading to burning and damaging the chip. However, thermal vias increase the

routing congestions [24], [25]. Nevertheless, careful thermal via-placement in high

performance system can potentially control the temperature in 3-D ICs. Some alternative

that have been proposed such as integrated micro-channel cooling [26]- [27] may also be

a viable option.

1.2.2.2 CAD Algorithms and Tools

Other classic problems such as partitioning, floorplanning, placement, and routing

have to be reconsidered from a 3-D perspective. Front end tools should give the designer

an exploratory visualization early in the design process for the 3-D system to avoid major

problems that might be faced lately while taping out the design. Furthermore, as the 3D

system is relatively large compared to 2-D as three-dimensional system comprises

heterogeneous structures, behavioral models should be available to reduce complexity as

much as possible during simulation. Moreover, suitable computational resources should

be allocated for simulation tools to effectively simulate the entire 3-D system.

1.2.2.3 Interconnect Design

Designing interconnects in 3-D systems is a challenging task due to the inherent

heterogeneous nature in integrating planes of different technologies or disparate

fabrication processes in the same package in three-dimensional integration. Moreover,

noise caused by capacitive and inductive coupling between vertical interconnections

themselves and with adjacent planes has to be considered carefully in 3-D circuits [28].

Additionally, global interconnects such as, clock and power distribution, grow in

Page 27: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

10

importance. Consequently, models that consider particular traits of 3-D integration are

necessary.

1.2.2.4 Manufacturing Limitations

Some of the fabrication issues encountered in the development of 3-D systems

should be addressed carefully to maintain high quality for the manufactured 3-D system.

For example, relatively poor yield and correspondingly high cost due to the fact that one

faulty die can cause an entire stack of dies to be faulty. One solution for that is reducing

the area of individual dies which increases the possibility for improving the yield.

Moreover, stacking of planes of the 3-D system should degrade the individual

performance of each plane while guaranteeing that the planes remain cohesively bonded

throughout the lifetime of the vertically integrated system. In addition, the signal and

power vertical interconnects passing through these bonding planes should be highly

dense; otherwise the gains of performance of 3-D over 2-D integration will diminish [29].

Consequently, the quality of the vertical interconnects is of fundamental importance as it

affects the performance of the 3-D structure prominently.

1.2.2.5 Testing

In typical 3-D integration, physical planes are bonded together. There are different

types of bonding such as wafer-to-wafer, die-to-die, or wafer-to-die. Consequently, novel

testing techniques have to be developed to test each plane distinctly in addition to testing

the functionality of the whole 3-D system. Testing each plane separately is an important

difference between 2D and 3D testing that requires additional resources like power pads,

Page 28: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

11

vertical interconnects, and I/O pads. Techniques should be developed to minimize this

additional circuitry, for instance, through generating certain test patterns.

1.3 The Interconnect in 3-D Integration

In 3-D Integration or SiP (System in Package), vertical interconnections are

mandatory to connect shared signals and power distribution networks through the stacked

ICs. However, the mechanical and electrical characteristics of the vertical interconnects

can be a bottleneck for achieving the required performance. Thus, various types of

vertical interconnects are still being proposed.

Several interconnection types are popular in industry. Figure 1.7 shows three

different types of interconnects used in interconnections in 3-D circuits. Among the three

types, the bonding wire is the widely used solution for interconnecting physical planes in

3-D systems due to matured fabrication process and cost considerations. However,

Through Silicon Vias (TSVs) are replacing bonding wires in many designs because of

having better electrical performance. TSVs pass through the silicon substrate leading to

higher integration density, as the silicon substrate becomes utilized in the vertical

direction, compared to bonding wires which utilize the substrate laterally only resulting

in smaller foot-print area when TSVs are used instead of bonding wires. The following

Figure 1.7 Examples of SiP structures with interconnection elements

Page 29: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

12

two subsections discuss the main features of different types of interconnects, focusing on

bonding wires and TSVs.

1.3.1 Bonding Wire Interconnections

The application of bonding wires was first introduced to 3-D integration in late

1990’s [30] and this technology of bonding wires is still evolving. A method for

increasing the density of bonding wires is by reducing the wire pitch, which is

currently [31]. Despite its popularity in industry, long bonding wires limit the

performance in high-speed applications. Moreover, since bonding wires are located only

on the periphery, highly dense ICs are limited by the wiring pitch. In addition, due to

complicated geometric configurations, bonding wires have poor electrical performance as

a result of electrical coupling. To make matters worse, complicated crossing of bonding

wires can result in undesired electrical short circuit with near-by interconnections [32]

subsiding reliability of the 3-D IC.

Figure 1.8 Bonding wires interconnections

Figure 1.9 A General Bulk CMOS 3-D Stack Arrangement

Page 30: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

13

1.3.2 Through-Silicon Via (TSV) Interconnections

Originally, via-type interconnections were fabricated to connect planar layers

vertically in multilayered PCBs (Printed Circuit Boards). The vertical interconnections in

PCBs were called through-hole vias (THV). In the late 1990’s, new applications

introduced via interconnections in stacked ICs and called them through-silicon vias

(TSVs).

Figure 1.10 An example of 3-D bonding wire bond integration. (Photo courtesy of Amkor Technology, Inc.)

Page 31: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

14

The reason for using TSVs was to reduce the wire length of interconnections

because of being strictly vertical. In addition to the reduced wire length, TSVs offer high

integration density [33] as they can be fabricated at any desirable position through the

stacked ICs, not only at the periphery as in the case of bonding wires. TSV are beginning

to be used in stacked memory chip applications [34]. An example of a TSV array is

shown in Figure 1.11. However, TSV interconnects have many challenges as highlighted

in section ‎1.2.2. Along with the fabrication challenges [35] [36] [37], TSVs have

electrical bottleneck which is coupling with lossy silicon substrate, especially when the

substrate is highly doped. Substrate coupling and leakage current may generate excessive

insertion loss that ultimately degrades signal integrity. To avoid high insertion loss and

coupling, several parameters have to be controlled such as silicon resistivity, oxide

thickness, and the pitch between TSVs [38].

Figure 1.11 An example of a fabricated TSV array.

Page 32: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

15

1.4 Conclusion

Interconnections are now the bottleneck for performance rather than the active

devices and have a profound effect on the overall performance of the system, particularly

in three-dimensional ICs, at a scale that can adversely affect if not designed carefully.

TSV is a very important and enabling factor for the 3-D integration idea as a whole.

Through silicon via has a great gain behind its application on a large scale. The more our

understanding of the electrical behavior of the TSV, the easier will be the decision to

implement a high-end design in 3D.

As demonstrated in this chapter, interconnects have a potential effect on the

overall performance on a 3-D integrated system, it’s very critical to have an accurate

model of the TSV inside a 3-D environment. Observing the behavior of the TSV, when a

certain voltage is applied on it for example, will give us an introductory, yet insightful,

view of how we’ll finally reach a model that accurately imitates the behavior of the TSV

in all known practical applications.

Page 33: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

16

2 CHAPTER 2: LITERATURE REVIEW AND COLLABORATED

RESEARCH

robust wide-band lumped element model for a through silicon via (TSV) is

proposed based on the TSV physics. Dimensional analysis method along with 3D

quasi-static electromagnetic field simulation are utilized to develop closed-form

expressions for the lumped elements of the TSV model. The parameters’ values of the

proposed TSV model are fitted to the simulated S-parameters up to 100 GHz with an

error less than 5%. The robustness of the proposed model enables direct extraction of the

TSV resistance, self-inductance, oxide capacitance as well as the parasitic elements due

to the finite substrate resistivity. The proposed model is compact and compatible with

SPICE simulators; hence it allows fast investigation of the TSV impact on 3-D circuits’

performance. Using the developed model, it is shown that a TSV has a negligible effect

on high-impedance device characteristics, and in such cases, the TSV can be modeled as

a capacitance-dominated structure. In case of low-impedance devices, a TSV has a

significant effect on the device characteristics and the full TSV model need to be used.

This study also shows that the TSV capacitance is highly dependent on the placement and

count of adjacent ground body contacts and has a value of tens of femto farads in a

typical current technology. This value is much higher than a minimum device capacitance

and requires special design methodologies such as cascaded buffers. The proposed

model is also examined against device simulations, where we found a very good

correlation with an error less than 2% as compared to full electromagnetic simulations of

a TSV.

A

Page 34: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

17

2.1 Introduction

TSV technology is one of the most critical and enabling technologies for 3-D

integration. Compared with conventional I/O structures, such as flip-chips and wire-

bonding, TSV technologies result in reduction of interconnect length, wire parasitics,

propagation delay, and power consumption. TSV technologies provide high interconnect

density, small footprint, and heterogeneous integration of the various materials and

technologies [39]-[43].

A TSV is a new element to conventional IC interconnects and its impact on the 3-

D circuit performance requires careful characterization and evaluation. Unlike

conventional interconnects, a TSV is surrounded by the silicon substrate which has a

finite resistivity. This finite resistivity results in nonlinear capacitance, loss modes not

𝑎

Body

contact

𝑪𝒖 𝑺𝒊

𝑺𝒊𝑶𝟐

𝑏

𝔀𝒃𝒄

𝑐

𝒍𝒕𝒔𝒗

𝒕𝒐𝒙

𝟐𝒓𝒕𝒔𝒗

Figure 2.1 The typical TSV (a) 3D view (b) Top view (c) Side view

Page 35: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

18

present in conventional interconnect, and a large capacitance that is highly dependent on

the distance from adjacent body contacts.

The typical structure of a TSV is a uniform circular cylinder of a conducting material

(copper) surrounded by an insulator (silicon dioxide) which is intended to prevent

leakage and resistive coupling through the substrate (silicon) and the substrate has its

body contacts connected to a DC voltage. This structure is depicted in Figure ‎2.1. The

characteristics of a TSV are dependent on its geometrical parameters such as the TSV

diameter, height, oxide layer thickness, and electrical parameters such as the metal

conductivity, oxide permittivity, and the silicon substrate resistivity. These characteristics

are summarized in Table ‎2-I.

TABLE ‎2-I TSV PHYSICAL PARAMETERS IN A TYPICAL CURRENT TECHNOLOGY

Geometrical parameters Symbol Typical current value

TSV radius 2.5

TSV height Aspect Ratio*2* 50

Dielectric thickness 0.3

Distance to body contact 5

Electrical

parameters Symbol Typical current value

Conductor material 6 Dielectric material 2

Substrate

Recently a number of publications and studies, [39]-[56], addressed the TSV modeling

from different perspectives and followed different approaches. Table ‎2-II summarizes

their contributions by showing the areas covered in each publication. Complementary to

those previous publications, this work intends to introduce a complete and robust, but

simple, SPICE compatible model that accurately captures all the loss modes of a TSV

and to introduce closed forms for calculating the model lumped elements’ values from

Page 36: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

19

the TSV geometry and technology parameters. For robustness, the proposed model also

captures the nonlinear capacitance, depletion region (MOS Effect), and body contact

impact. To address the technology roadmap of speed, the 3-D interconnection model

should cover accurately a sufficiently wide frequency range (wide-band model), where

the harmonics frequency can be as high as 60 GHz in 45 nm technology [57], and for

accurate electrical design of 3D ICs including radio frequency (RF), analog, and digital

sub-modules.

TABLE ‎2-II THE CONTRIBUTIONS OF THIS WORK AS COMPARED TO PREVIOUS RELATED PUBLICATIONS SHOWING

THE AREAS COVERED IN EACH PUBLICATION

Issues covered in the

study

This

work [39]-[45] [46,47] [48,49] [50,51] [52] [53] [54,55] [56]

S-parameter measurement Quasi-Static simulation Full-Wave simulation

Closed–form expressions

(frequency dependant)

Closed–form expressions

(wide-band)

MOS effect modeling

(,) (,) (,) (,) (,) (,) (,) (,) (,)

TSV Shape cylindrical

[44]co-axial

[40]square

[others]cylindrical

cylindrical [49] tapered

[others]cylindrical cylindrical cylindrical cylindrical cylindrical cylindrical

Macro-Modeling

Multi-Stacked TSV [43]

[others]

Body Contact Effect

This chapter is organized as follows; in Section II, the TSV is physically modeled and

characterized taking nonlinearities into consideration and a wide-band proposed lumped

element model for a TSV is introduced. In Section III, closed form expressions for the

proposed TSV model elements are obtained using the dimensional analysis method. In

Section IV, the proposed lumped element model is validated versus electromagnetic

Page 37: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

20

simulations and device simulations. The impact of a TSV on device performance is

evaluated and the body contact impact is presented. Conclusions are given in ‎2.5.

2.2 Physical TSV Modeling

The objective of this work, as stated earlier, is to introduce a complete and robust model

that accurately captures all the loss modes of a TSV as well as the nonlinear capacitance,

depletion region (MOS Effect) and body contact impact. To achieve this objective, the

methodology, shown in Figure ‎2.2, adopted in this study is to propose a lumped element

model for the TSV based on its underlying physics, then to fit that proposed model to the

complete frequency-dependent TSV empirical data. This empirical data is obtained from

an electromagnetic field solver over a wide range of TSV physical and technological

parameters using the dimensional analysis method [58].

Physics and circuit

theory basics

TSV

Extracted Equivalent

Model

Optimization

(Dimensional

Analysis)

TSV

Proposed Equivalent Model

(Wide-Band)

TSV SPICE

Compatible Model

Error

Use Full wave Use quasi-static

No yes

TSV Structure

Quasi Static Full Wave

Figure 2.2 The Proposed Methodology for TSV modeling.

Page 38: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

21

2.2.1 Physics-Based Lumped Element Model

An equivalent lumped RLC model for a TSV is required to efficiently represent

its electrical performance in standard simulators. Compared with an electromagnetic field

solver, a lumped equivalent-circuit model dramatically reduces computation time and

supports transient analysis.

For the proposed model to be accurate, it needs to capture the following TSV

behaviors/physics:

1. Conductor Ohmic losses.

2. Capacitance across the oxide.

3. Capacitance and resistance across the substrate.

Taking the above considerations into account, a lumped element model for a TSV can be

derived from the well-known single π structure [59,60]. However, since nearly no

Port1

Port2

𝐶𝑑𝑒𝑝 𝐶𝑆𝑖

𝐶𝑑𝑒𝑝 𝐶𝑆𝑖

𝑅𝑑𝑒𝑝

𝑅𝑑𝑒𝑝 𝑅𝑆𝑖

𝑅1 𝑅0

𝐿1

𝐿1

𝑅1 𝑅0

𝐶𝑜𝑥 𝑅𝑆𝑖

𝐶𝑜𝑥

𝐿0

Figure 2.3 The proposed lumped model for a TSV based on a single 𝜋 structure. The model is composed of 𝑅0,𝐿0, 𝑅1,

𝐿1 𝐶𝑜𝑥, 𝐶𝑠𝑖,𝐶𝑑𝑒𝑝 𝑅𝑠𝑖 and 𝑅𝑑𝑒𝑝 .

Page 39: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

22

simulator accepts frequency-dependent description for a lumped component at the entry

level, the proposed model needs to capture the frequency dependency of the TSV using a

set of lumped RLC elements. The proposed lumped element model for the TSV is shown

in Figure ‎2.3 where the list of model elements and their physical interpretation are

presented in Table III.

TABLE ‎2-III THE PROPOSED MODEL LUMPED ELEMENTS AND ITS PHYSICAL INTERPRETATION

Circuit

element

Physical meaning

0 0 Ohmic loss of the conductor 1 1 Skin effect of the conductor: to capture the effect of

different current densities in different conduction layers,

additional RL branches can be introduced in parallel to 0 to represent each conduction layer across the TSV depth [61,62]. For the model simplicity, we only bring in one

extra RL branch ( 1 and 1), which models the surface layer resistance and inductance(skin effect).

Capacitance of the oxide

Silicon substrate depletion region capacitance and

resistance

Silicon substrate capacitance and resistance

2.2.2 Nonlinearities (MOS Effect) of a TSV

Again, as stated earlier, the TSV model needs to capture the nonlinear

capacitance, depletion region (MOS Effect), hence, their physics needs to be studied.

Page 40: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

23

TSVs have a MOS-like capacitor structure as illustrated in Figure ‎2.4, where the

TSV metal behaves similar to a gate and the silicon substrate behaves similar to the bulk

of a MOS transistor [54]-[56]. This structure is described by the following set of

equations:

(2.1)

1 (2.2)

0 (2.3)

where, is the TSV radius, is the oxide thickness, and is the substrate

depletion width.

By solving Poisson’s equation in a cylindrical co-ordinate system, the following

equations result [54]:

2 (

2

2)

(2.4)

Si

Cu

𝑫𝒆𝒑𝒍𝒆𝒕𝒊𝒐𝒏 𝒓𝒆𝒈𝒊𝒐𝒏

𝑟T

𝑟1

𝒐𝒙𝒊𝒅𝒆

𝑟0

Figure 2.4. Top view of a single TSV including a depletion region.

Page 41: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

24

2

(

)

2

(2.5)

where,

is the threshold voltage,

is metal work function,

is electron affinity of Si (in V),

is band gap energy of Si (in eV),

q is the electronic charge = 1.6022 × 10-19

coulombs,

= 0.026 V (at 300K),

is the doping concentration of the acceptors ions, and

is the intrinsic carrier concentration of Si (assuming p-type).

The full depletion approximation was used in deriving the above equations. This

approximation assumes that there are no mobile charge carriers in the depletion region

and the charge in this region is entirely due to the ionized atoms.

By solving equation (2.4) and (2.5), a closed form expression for the depletion width

can be derived, which is given by:

1 0 5 (

)

(2.6)

where is the Lambert function and is calculated as follows:

Page 42: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

25

1 (2.7)

The planar MOS capacitance is given by the well-known formula [61],

√1

, (2.8)

where 0 is the reference capacitance at .

By analogy to a MOS device, the TSV depletion capacitance dependency on Vtsv is

captured and the closed form expression for it is derived using dimensional analysis

method in the next section. Along the same line, the duality concept between the

resistance and the capacitance was introduced in the microwave area [62] and results in

the following relation:

, (2.9)

by using this duality relation, the voltage dependent depletion resistance is will have the

following dependency on Vtsv, where its closed form expression is derived using

dimensional analysis method in the next section.

The above dependency on Vtsv ( in equation 2.8), shows that the depletion resistance

and capacitance are highly nonlinear and vary by more than 100% in the range between 0

to 1 volts. The change of the depletion resistance and capacitance with respect to the

voltage applied on the TSV are shown in Figure ‎2.5, and Figure ‎2.6 respectively.

Referring back to Table ‎2-II, none of previous related publications have obtained a

formula for the depletion resistance.

Page 43: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

26

2.2.3 Electromagnetic Field Solving

In order to investigate the electrical characteristics of a TSV, two different methods of

electromagnetic simulation have been performed. The first method is based on a 3D full-

wave field solver, HFSS [63] and the second one is based on a 3D Quasi-static field

solver, Q3D [64]. Table ‎2-IV summarizes the main differences between these two types

of simulators and a static simulator, for completeness. The main difference in the static

simulator is that both skin effect and displacement current are neglected while in the

Figure 2.5 Depletion capacitance change with respect to the

voltage applied on the TSV (p-type Si).

Figure 2.6 Depletion resistance change with respect to the

voltage applied on the TSV (p-type Si).

Page 44: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

27

quasi-static case, only the displacement current is neglected and the full-wave simulator

is the most accurate one.

TABLE ‎2-IV COMPARISON BETWEEN DIFFERENT TYPES OF ELECTROMAGNETIC SIMULATORS

Item Static Quasi-Static Full-Wave

Equations

Skin effects No Yes Yes

Displacement current No No Yes

Figure ‎2.7 shows a comparison between the full-wave and the quasi-static results

for the S21 parameter of a TSV in a frequency sweep from few Hz to 100 GHz. The

shown difference is due to Q3D limitations [64], where AC inductances are assumed

frequency independent while AC resistances are approximately scaled by square root the

frequency. The error due to these limitations is less than 5% which is acceptable. Hence,

the quasi-static simulator is used in the rest of this work.

Page 45: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

28

2.3 Closed Form Expressions for the Model Elements

Dimensional Analysis is a powerful technique used to reduce the number of

physical variables through the identification of the variables’ dimensions and combining

the original variables to deduce a canonical number of dimensionless variables [58]. To

model the capacitance of the TSV using the dimensional analysis approach we follow the

following algorithm:

Step1: list all the variables involved in the problem and their dependency. For example,

the capacitance is a function of the following physical parameters.

(2.10)

Step2: express the variables with their dimensions. For capacitance:

Figure 2.7 Comparison between the S21 for a TSV produced by a full-wave

simulator (HFSS) and a quasi-static simulator (Q3D) ( tsv 5μ tsv 50μ ).

Page 46: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

29

(s

)

s

(2.11)

where s for seconds, is for meters, and .

Step3: equate the powers of each dimension in both sides of the equations:

(2.12)

(2.13)

From (2.14) and (2.15):

(2.14)

Step4: substitute in (2.10) with those powers

1 tsv

1 tsv

(2.15)

Step5: simplify expression (15)

(

)

(t

)

(2.16)

From (2.16):

(

t

) (2.17)

The capacitance depends on only two dimensionless variables instead of four physical

variables. Similarly, we can obtain R and L as :

Page 47: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

30

tsv (

) (2.18)

(

) (2.19)

The closed form equations for the TSV are extracted in two steps; the first one is

by fitting the field solver based S-parameters up to 10 GHz to the proposed equivalent

lumped element model using AWR software [65]. The second step is using forms

suggested by the physical laws to guide the curve fitting software to produce the closed

form equations. The closed form formulas for the TSV parameters are given by:

0 155

2 (

)

(2.20)

1 55

2 (

)

(2.21)

0 330 (1 0 01

)

2 (2.22)

1 200 (1 0 01

)

2 (2.23)

6 6

(1

) (2.24)

s 0 5 1

(1

) (2.25)

s (1

)

0 5 1 (2.26)

where, is number of body contacts.

Page 48: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

31

2

(1

)√1

(2.27)

(1

)√1

2 (2.28)

To realize a voltage-dependent depletion capacitance and resistance in a SPICE-

compatible format, the first order Taylor expansion can be used to replace the

in (2.27) and (2.28) where tsv t , for typical geometrical and

electrical parameters of the TSV ( t , and for the voltage range of interest(

tsv

1

√1

2 (2.29)

2 (2.30)

Substituting by (29) into (27) and (30) into (28) results in:

2

(1

)

2 = 0 1 tsv (2.31)

(1

)

2 (

2 ) 0 2 tsv (2.32)

Most of SPICE simulators can accept (2.31) and (2.32) at entry level, [66] is an

example. Also, a SPICE-friendly model for the nonlinear capacitance and resistance can

be driven as follows: The voltage dependent capacitance (left side of Figure ‎2.8) is given

by:

t 0 (2.33)

Page 49: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

32

the current across it is given by:

0 0 2 (2.34)

for the proposed equivalent SPICE-friendly model (right side of Figure ‎2.8), the current

across it is given by:

0 v v 0 0 v v (2.35)

from (34) and (35):

v v

2 (2.36)

the coefficients of the voltage controlled voltage source can be expressed as:

v v

(2.37)

Hence, the voltage-dependent capacitor is modeled using a constant capacitor and a

voltage controlled voltage source ( ). In a similar way, the voltage dependent

resistance (left side of Figure ‎2.9) is given by:

𝑉𝑣𝑐𝑣𝑠

𝐶0

+

-

𝐶𝑡

𝑉 𝐼 𝑉 𝐼

Figure 2.8 The proposed equivalent circuit model of the voltage

dependent capacitance

Page 50: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

33

t 0 (2.38)

the voltage across it is given by:

0 (2.39)

for the proposed equivalent SPICE-friendly model (right side of Figure ‎2.9), the voltage

across it is given by:

0 v (40)

From (39) and (40):

v 0 v 0 2 v (2.41)

v 0 2 (2.42)

first order Taylor expansion is applied to (2.42) which results in:

v

1 0

2 0 2 2 0

3 (2.43)

the coefficients of the current controlled voltage source are expressed as:

v 0 2 0 (2.44)

Hence, the voltage-dependent resistance is modeled using a constant resistance and a

𝑉𝑐𝑐𝑣𝑠

𝑅0

𝑅𝑡

𝑉 𝐼 𝑉 𝐼

Figure 2.9 The proposed equivalent circuit model of the voltage

dependent resistance

Page 51: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

34

current controlled voltage source ( ).

These closed form expressions represent accurate and direct extraction of the TSV for

any technology parameters and TSV geometry as well as body contacts’ distance and

number for frequency range 0 GHz -100 GHz.

2.4 Proposed Lumped Element Model Validation and Analysis

The validity of the proposed TSV lumped element model and the closed forms of

its elements’ values are validated and analyzed in a number of different ways as

demonstrated in the following subsections:

2.4.1 Validation against Electromagnetic Simulation

The closed form equations of the proposed lumped element model of the TSV are

examined versus electromagnetic simulations and this comparison is shown in

Figure ‎2.10 where the comparison showed a good correlation and an error less than 1%

for S21. A number of experiments have been performed for different physical parameters

of the TSV as summarized in Table ‎2-V. The maximum percent error was found to be

less than 5% for S21. The extracted values for R, L, and C of the TSV from the closed

form formulas are shown in

Table ‎2-VI (with no body contacts, with one and with four body contacts). The

experiments showed that the values of and are the only affected parameters by

changing the number of body contacts, where the capacitance increases as the body

contacts’ number increases. The impact of distance of the body contact at on

Page 52: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

35

the substrate capacitance and resistance are shown in Figure ‎2.11 and Figure ‎2.12,

respectively.

TABLE ‎2-V PERCENT ERROR BETWEEN THE QUASI-STATIC AND THE PROPOSED LUMPED ELEMENT MODEL

SIMULATIONS FOR 21

Diameter

( Oxide

thickness

(

Aspect ratio

1 3 5 7 10

5 0.1 4.4 3 2.7 2 1.8

5 0.3 2.8 2.5 1.4 2.4 0.9

15 0.3 1.6 2.5 1.4 2.1 4.1

25 0.1 2.4 2.6 2.2 1.9 3

25 0.3 3.1 2.8 2.7 3.1 2.5

35 0.1 3.7 4.6 1.5 2 2.8

35 0.3 1.3 1.5 1.8 4 4.2

50 0.2 4.1 1.9 3.2 1.9 1.9

50 0.3 3.1 3 1.7 2.1 2

TABLE ‎2-VI EXTRACTED VALUES FOR R, L, AND C OF A TSV FROM THE CLOSED FORM FORMULAS ( , = 5 )

Body contacts

= 0 (no body

contacts around

the TSV)

0 0

1 1

=1 (one body

contact around

the TSV)

0 0

1 1

Figure 2.10 A comparison of the quasi-static EM simulations against

the proposed lumped element model simulations.

Page 53: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

36

=4 (four body

contact around the TSV)

0 0

1 1

Figure 2.11 Impact of the distance of the body contact on the

substrate depletion capacitance.

Figure 2.12 Impact of the distance of the body contact on the

substrate depletion resistance.

Page 54: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

37

2.4.2 Impact of the TSV on Device Performance

The proposed lumped element model of the TSV is simulated using SPICE to evaluate its

impact on the 3-D integrated circuit performance. A pulse voltage source, with a

internal resistance, is applied to the actual model of the cylindrical TSV shown in

Figure ‎2.3 (where all elements are included with the values mentioned in Table VI) and

the resultant waveform were compared against the simplified model shown in Figure ‎2.13

(where all inductors and resistors of the metal are short-circuited and all resistors of

silicon are open-circuited, i.e. , the TSV metal is approximated as a perfect conductor

neglecting the ohmic loss and skin effect).

This simulation was performed using the ELDO simulator [66]. From the waveforms

shown in Figure ‎2.14, the error between the complete model and the simplified model is

small, hence the simplified model can be used in this case. Another experiment were

performed for the case of (i.e. representing a low impedance device) where

the considerable performance difference, shown in Figure ‎2.14, recommends the use of

the actual model. Hence, for high impedance devices such as small drivers the simplified

𝑉𝑜𝑢𝑡

𝐶𝑜𝑥 𝐶𝑑𝑒𝑝 𝐶𝑆𝑖

𝐶𝑑𝑒𝑝 𝐶𝑜𝑥 𝐶𝑆𝑖

(Port 2)

Port

Ω

𝑉𝑠𝑜𝑢𝑟𝑐𝑒

𝐶𝑡𝑠𝑣

𝑉𝑠𝑜𝑢𝑟𝑐𝑒

Ω

𝑅𝑖𝑛𝑡

𝑅𝑖𝑛𝑡

Figure 2.13 Simplified circuit model for the TSV with all

inductors short-circuited and all resistors open-circuited.

Page 55: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

38

model can be used while in case of large cascaded buffers, i.e. low impedances devices,

the complete model in Figure ‎2.3 has to be used for better accuracy.

Figure 2.15 Simulated voltage waveforms of the actual output voltage (Vout which is measured from port 2 in Figure 2.3)

vs. the output from the simplified model (Vout_simplified which is measured from port 2 in Figure 2.13) when a 5v pulse

voltage source (VSource) is applied with an internal resistance t 100Ω.

Figure 2.14 Simulated voltage waveforms of the actual output voltage (Vout which is measured from port 2 in Figure 2.3) vs. the

output from the simplified model (Vout_simplified which is measured from port 2 in Figure 2.13) when a 5v pulse voltage source

(VSource) is applied with an internal resistance 𝑅𝑖𝑛𝑡 10Ω.

Page 56: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

39

2.4.3 Body Contact Impact

The value of the silicon capacitance is highly dependent on the body contacts around

the TSV. In the extreme case when there are no body contacts, this capacitance becomes

similar to the stray capacitance, which is small (in the order of several fF). However, the

presence of the body contacts around the TSV creates a virtual ground surface around the

TSV as shown in Figure 2.16. In this case, the silicon capacitance increases to several

tens of fF (refer to Table VI). The more and closer the body contacts are to a TSV, the

more the TSV capacitance and the isolation of the TSV from other circuit components.

2.4.4 Validation against Device Simulation

The structure of the TSV shown previously in Figure ‎2.4 was drawn then

simulated on a device simulator. The used device simulator is the Sentaurus Device

provided by Synopsys [67]. Sentaurus Device is a multidimensional (1D/2D/3D) device

simulator for silicon-based and compound semiconductor devices. Sentaurus Device

divides the simulated structure using an embedded meshing strategy taking into

Body

Body

𝑆𝑖𝑂2

𝐶𝑠𝑖

𝐶𝑢

𝑆𝑖 𝐷𝑒𝑝𝑙𝑒𝑡𝑖𝑜𝑛 𝑟𝑒𝑔𝑖𝑜𝑛

𝐶𝑑𝑒𝑝 𝐶𝑜𝑥

Figure 2.16 Top view of a single TSV including a virtual ground, due

to body contacts.

Page 57: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

40

consideration the boundary conditions such as the electric field and the carrier currents.

After meshing, Sentaurus applies semiconductor equations and boundary condition on the

meshed device structure by solving Poisson’s equation and electron and hole continuity

equations.

To simulate the TSV, a ground has to be defined. In VLSI the ground is formed in a

silicon wafer using body contacts deployed in the substrate at regular intervals of

distance. Consequently, four arbitrary body contacts were used throughout the device

simulations with different combinations of the body contacts being existent or not. The

dashed contour in Figure ‎2.16 around the TSV structure represents the arbitrary positions

at which we can place grounded body contacts. Simulations were done using different

configurations of the body contacts through varying their number and the distance

between them and the TSV. Simulations showed that the total TSV capacitance increases

with the increase in the body contacts count. This increase is due to the fact that the more

Figure 2.17 A comparison of the device simulations against the

proposed lumped element model simulations.

Page 58: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

41

body contacts, the more shielding of the electric field lines emerging from the TSV.

Moreover, reduction in the distance separating the body contacts and the TSV increases

the total TSV capacitance, because the closer the body contact, the more shielding it

provides. The closed form expressions of the proposed TSV lumped element model are

examined against the device simulations, and this comparison is shown in Figure ‎2.17

where the comparison showed a good correlation and an error less than 2% for the TSV

capacitance.

2.5 Conclusion

In this chapter, a TSV modeling methodology is proposed. The proposed

methodology fits a physics-based lumped element model to the complete frequency

dependent TSV empirical data obtained from a field solver. Both 3D full-wave (HFSS)

and 3D Quasi-static (Q3D) field solvers were considered. Comparison between those two

field solvers from few Hz to 100 GHz showed that the difference in accuracy is less than

5%, hence, the 3D Quasi-static (Q3D) field solver was used. Dimensional analysis was

used to develop closed form formulas for the lumped elements. The closed form formula

achieved a very good agreement with the field solver S-parameter with error less than

5%. The proposed model was used to study the impact of a typical TSV on a 3D circuit

performance. This study showed that the self-resistance and inductance of the TSV has

negligible effect on high-impedance device characteristics, hence in such case the TSV

can be considered a capacitance-dominated structure. Also, this study showed that the

TSV self-inductance and resistance have a significant effect on low-impedance device

characteristics. This chapter also showed that the capacitance of the TSV is highly

Page 59: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

42

dependent on the body contact placement and count. Furthermore, the proposed TSV

model was verified using device simulations.

Page 60: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

43

3 CHAPTER 3: ANALYZING TSV STRUCTURE AND PROPOSING MODELS

3.1 Device Architecture

he cross-section of a typical TSV structure is depicted in Figure ‎3.1. The Cu-made

TSV is surrounded by a dielectric, 2 in this case, for DC isolation then Silicon

(n-type or p-type) substrate. Having an insightful look at the architecture of the TSV, it

can be noticed by analogy that the TSV’s structure is somehow similar to the well-known

Metal-Oxide-Semiconductor (MOS) capacitor, but in a cylindrical form rather than

cuboid-shaped. Thus the MOS-like structure for the TSV implies that the TSV shows

MOS-like effects, for example, frequency dependency of the capacitance in certain

region of operation, nonlinear voltage-dependent capacitance, and/or the existence of a

depletion region around the dielectric.

The isolating dielectric may be surrounded by a depletion region depending on

different parameters such as the geometrical parameters of the TSV, biasing voltage

T

Figure 3.1 Cross section of a single TSV including a depletion region

Page 61: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

44

applied on the TSV and material properties of the Silicon substrate like resistivity, p-type

or n-type and/or trapped charge in the dielectric-Silicon interface. In addition, the total

series capacitance of the dielectric and the depletion region, if existed, depends on the

biasing voltage, geometrical parameters and dielectric-Silicon interface.

3.2 Nonlinear Dependence of TSV Capacitance on Biasing Voltage

As the biasing voltage applied on the TSV increases with an n-type surrounding

medium, the depletion region width decreases and vice versa for a p-type substrate. That

dependence of the depletion region width on the biasing voltage appear when the

interface charge density is zero or relatively low and disappears when the dielectric-

Silicon interface charge density becomes relatively high 12

. Therefore, if the

dielectric-Silicon density is high enough, the depletion region width becomes

independent of the biasing voltage.

To be more acquainted with the behavior of the TSV without the huge expenditures

of manufacturing TSVs, simulating the TSV structure using a device simulator would

give an introductory yet insightful look at the behavior of the TSV and enable the

characterization of the TSV performance.

The above structure of the TSV in Figure ‎3.1 was drawn then simulated on a

device simulator. The used device simulator is the one provided by Synopsys and is

called Sentaurus Device[68]. Sentaurus Device is an advanced multidimensional

(1D/2D/3D) device simulator capable of simulating and thus characterizing electrical

characteristics of silicon-based and compound semiconductor devices. Sentaurus Device

Page 62: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

45

can used to explore new device concepts for which fabrication processes are not yet

defined. This device simulator divides the simulated structure using an embedded

meshing strategy taking into consideration the boundary conditions, for example, of the

electric field and the carrier currents. After that the device simulator takes the meshed

device structure and applies semiconductor equations and boundary conditions (in

discrete form) and works by modeling electrostatic potential and carrier continuity

through solving the following equations [69]:

where is the semiconductor permittivity, is electrostatic potential, E is

electric field, q is the electric charge, and are the electron and hole carrier densities,

is the electrically active net impurity concentration, nJand pJ

are the electron and

hole currents, G incorporates generation phenomena, such as impact ionization or carrier

generation by external radiation, R describes recombination processes., n and p are

the electron and hole mobility, nD and pD

are the corresponding diffusion coefficients.

Both mobilities and diffusion coefficients depend on the electric field

Page 63: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

46

To be able to simulate the TSV a ground has to be defined. The easiest way that

comes to mind is to make the edges of the Silicon surrounding the TSV act as a ground,

which means that the TSV is surrounded by a ground box without lids. However, this not

the real case, as in VLSI the ground is formed in a Silicon wafer using body contacts

𝐶𝑠𝑖 𝐶𝑑𝑒𝑝

𝐶𝑢 𝐶𝑜𝑥

𝑆𝑖 𝐷𝑒𝑝𝑙𝑒𝑡𝑖𝑜𝑛 𝑟𝑒𝑔𝑖𝑜𝑛

𝑆𝑖𝑂2

Body

contact

Body

contact

Figure 3.2 Top view of a single TSV illustrating different capacitances and the arbitrary distance for a

body contact.

𝐶𝑢

𝑆𝑖𝑂2

𝐷𝑏𝑐

𝑫𝒃𝒄

𝟐

𝑳𝒃𝒄

𝑳𝒃𝒄

𝑟𝑚

𝑡𝑜𝑥

𝐷𝑏𝑐

Figure 3.3 Four-body contacts configuation

Page 64: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

47

deployed in the substrate at regular intervals of distance.

The dashed contour in Figure ‎3.2 around the TSV structure represents the

arbitrary positions at which we can place ground or body contacts. Consequently, four

body contacts (arbitrary yet logical choice) were used throughout the simulation with

different combinations of the body contacts being existent or not. This architecture can be

illustrated in Figure ‎3.3 and Figure ‎3.4. Simulations were done using different

configurations of the body contacts through varying the number of the body contacts and

also varying the distance between the body contacts and the TSV.

Body

contact

𝑺𝒊

𝑺𝒊𝑶𝟐

𝑪𝒖

𝑎

𝔀𝒃𝒄

𝑏

𝟐𝒓𝒕𝒔𝒗 𝒍𝒕𝒔𝒗

𝒕𝒐𝒙

𝑐

Figure 3.4 The through silicon via structure assuming a uniform circular cross section of cupper surrounded by an

oxide inside a silicon substrate (a) 3D view (b) Top view (c) Side view.

Page 65: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

48

3.3 Nonlinear Capacitance of TSV at High Frequencies (n-type substrate)

Four positions for body contacts were used throughout the simulation with different

combinations of the body contacts being existent or not. Simulations were done using

different configurations of the body contacts through varying the distance between the

body contacts and the TSV and also varying the number of the body contacts. It can be

demonstrated from the simulation waveforms in Figure ‎3.5 that the reduction in the

distance separating the body contacts and the TSV leads to an increase in the total TSV

capacitance. The reason behind that is the effect of shielding of the body contacts on the

electric field lines becoming superior with the reduction of the separating distance.

Moreover, total TSV capacitance increases with the increase in the number of the body

contacts. The higher values for the capacitance is due to the fact that the more body

contacts, the more shielding of the electric field lines emerging from the TSV which can

be illustrated in Figure 3.6.

Figure 3.5 CV characteristics of TSV with a varying distance of body contacts surrounding the TSV in

n-type substrate at high frquency

Page 66: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

49

3.4 Nonlinear Capacitance of TSV at Low Frequencies (n-type substrate)

The result of simulating TSV at low frequencies is the nonlinear behavior of the TSV

capacitance shown in Figure 3.7.

Figure 3.6 CV characteristics of TSV with a varying number of body contacts surrounding the TSV in n-

type substrate at high frquency

Figure 3.7 Nonlinear total capcitance of TSV with 4 body contacts configuration in an n-type

substrate at low frequency

Page 67: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

50

In the following section, the effect on the operating frequency on the TSV capacitance is

explained in detail.

3.5 TSV Capacitance as a Function of Frequency

Since the structures of TSV and MOS capacitor are analogous to each other, an

inversion layer is formed at the surface of the silicon near the oxide–silicon interface.

This inversion layer is formed from the generation of minority carriers (holes in our

example of an n-type substrate). The concentration of minority carriers can change only

as fast as carriers can be generated within the depletion region near the surface.

This limitation causes the TSV (MOSCap-like structure) capacitance in inversion to

be a function of frequency of the AC signal used to measure the capacitance [70].

If the AC signal frequency is sufficiently low (typically less than 10 Hz) so that the

inversion charge carriers (minority carriers) are able to follow the AC bias voltage and

the DC sweeping voltage, then the resulting C-V curve is known as a low-frequency (LF) C-

V plot. However, if the AC bias signal frequency is too high (typically above 100 MHz)

so that the inversion charge carriers do not follow the AC voltage, the measured C-V

curve is called the high frequency (HF) C-V plot.

Note that in the accumulation and depletion modes, the MOS capacitance is independent

of the frequency for all frequencies of practical interest as shown in Figure 3.8 and

Figure 3.9. Consequently, TSV follows the same trend as shown in Figure 3.10.

Page 68: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

51

This is because in this region minority carriers are negligible and consequently don’t

contribute to the total charge, which is governed by majority carriers. The latter have

transport time of the order of picoseconds [70]. Thus, depending upon the frequency of

Figure 3.8 Capacitance-voltage (C-V) curve of a MOS capacitor under

(A) accumulation, (B) depletion, and (C)-(E) inversion. Curve (C) is at

low frequency and (D) at high frequency. [41]

Figure 3.9 Typical C-V relationship for an MOS capacitor with p-type silicon substrate, and n-type silicon

substrate [41]

Page 69: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

52

the AC signal and measurement conditions, three types of C-V plots are generally

obtained as discussed previously.

Page 70: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

53

3.5.1 Verify the Analogy between TSV and MOS Capacitor

Since the structures of TSV and MOS capacitor are analogous to each other, an

inversion layer is formed at the surface of the silicon near the oxide–silicon interface. The

result of the simulation of the CV characteristics of the TSV at low and high frequencies

is shown in Figure . The simulation verifies the analogy between the TSV and the MOS

capacitor as shown in Figure 3.8 and Figure 3.10.

.

Figure 3.10 Simultion of the CV characteristics of the TSV at low and high frequencies to verify the analogy between the

TSV and the MOS capacitor

Page 71: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

54

3.6 Depletion Region Width and its Relation with TSV Applied Voltage

Since TSV can be considered a cylindrical capacitor ( 2

), with oxide in

series with depletion region acting as the insulator, the total capacitance per unit

length ( can be derived as follows:

1

1

1

(

) (3.4)

Where 2

(

) ,

2

(

) , and is the total

equivalent series capacitance as shown in Figure 3.11.

Solving the above equations to find :

∴ 2 (

), (3.5)

∴ Depletion region width= = 2 (

) , (3.6)

Figure 3.11 Total capacitance of the oxide

and the depletion region in the substrate

Page 72: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

55

Figure 3.12 Depletion region width surrounding the oxide around the TSV metal vs the voltage applied on the TSV

In Figure 3.12, the TSV capacitance is varied due the varying the voltage across the TSV,

Hence the depletion region width varies accordingly as it depends on the TSV

capacitance.

0.35

0.40

0.45

0.50

0.55

0.60

0.65

0.70

-10

-9.2

-8.4

-7.6

-6.8 -6

-5.2

-4.4

-3.6

-2.8 -2

-1.2

-0.4

0.4

1.2 2

2.8

3.6

4.4

5.2 6

6.8

7.6

8.4

9.2 10D

ep

leti

on

reg

ion

wid

th (µ

m)

Voltage (V)

Page 73: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

56

3.7 Studying the Effect of TSV Parameters on its Parasitics

The purpose of this study is the modeling and characterization of TSVs in 3-D ICs; and

beside that, design guidelines to enhance performance for TSVs in 3D ICs are proposed.

In this study, different parameters are varied as shown in the following sub-sections:

3.7.1 TSV Radius (n-type & p-type)

Figure 3.13 shows that the TSV’s capacitance increases when the radius of the

TSV metal becomes larger. This increase in capacitance is intuitive and can be abstractly

verified using the simple equation of the cylindrical capacitor. In equation (3.7), as

increases, the capacitance increases.

2

1

, (3.7)

Figure 3.13 Effect of increasing TSV radius, 𝑟𝑚, on the TSV C-V characteristics with 4 body contacts in an n-type

substrate where 𝐷𝑏𝑐 𝑟𝑜𝑥 𝑚 𝑡𝑜𝑥 𝑚, and 𝜌𝑆𝑖 Ω 𝑐𝑚

Page 74: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

57

The trend is shown in Figure 3.13 and Figure 3.14 for n-type and p-type substrates

respectively. It’s worth mentioning that the major difference between the two types of

substrates is that the threshold voltage is negative in case of n-type and positive in p-

type substrates. is located in the region where the TSV capacitance changes from one

constant value to another.

Figure 3.14 Effect of increasing TSV radius 𝑟𝑚, on the TSV C-V characteristics with 4 body contacts configuration in a p-type

substrate where 𝐷𝑏𝑐 𝑟𝑜𝑥 𝑚 𝑡𝑜𝑥 𝑚, and 𝜌𝑆𝑖 Ω 𝑐𝑚

Page 75: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

58

3.7.2 TSV Oxide Thickness (n-type & p-type)

Another important physical dimension that could be varied in the TSV is the

oxide thickness. In Figure 3.15 and Figure 3.16, the oxide thickness is varied for n-type

and p-type substrates respectively. For example, when is reduced from

to , the maximum capacitance increases by about 61% and 100% in p-type and n-

type substrates respectively. Intuitively Again, there’s a decline in the TSV capacitance

with higher values of which can be conceptually verified through equation (3.7).

In conclusion, to get a certain desired TSV behavior, certain TSV C-V

characteristics has to be defined which in turn leads to a necessity of choosing a certain

TSV radius, metal radius surrounded by the dielectric layer, while designing the TSV. In

other words, for a TSV to transmit a signal in a desired time, certain TSV metal radius

and oxide thickness have to be implemented.

Figure 3.15 Effect of varying TSV oxide thickness 𝑡𝑜𝑥, on the TSV C-V characteristics with a 4 body contacts

configuration in a n-type substrate where 𝑟𝑚 𝑚 𝐷𝑏𝑐 𝑟𝑜𝑥 𝑚 and 𝜌𝑆𝑖 Ω 𝑐𝑚

Page 76: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

59

Figure 3.16 Effect of varying TSV oxide thickness 𝑡𝑜𝑥, on the TSV C-V characteristics with 4 body contacts configuration in a p-type substrate

where 𝑟𝑚 𝑚 𝐷𝑏𝑐 𝑟𝑜𝑥 𝑚 and 𝜌𝑆𝑖 Ω 𝑐𝑚

Page 77: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

60

3.7.3 Substrate Doping Concentration and Si-Oxide Interface Charge

Figure 3.17 Varying the level of doping in the substrate and the density of the Si-Oxide interface charge

By tailoring the 2 interface charges, the CV characteristics can be shifted

to a desired location where the TSV capacitance becomes minimum and constant in the

region of operation . This is illustrated in Figure 3.17. The horizontal shift of the

curve shown in Figure 3.17 indicates certain threshold voltage whose value is altered by

the concentration of 2 interface charges. On the other hand, the vertical shift of

the curve shown in Figure 3.17 indicates certain substrate doping concentration. Shifting

the CV characteristics down and to the left ensures that the minimum capacitance has a

lower and constant value in the region of operation . Consequently, as the

Page 78: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

61

capacitance becomes lower, the delay decreases leading to higher performance. Thus,

level of doping in the substrate and the density of the Si-Oxide interface charge can be

varied to get the desired CV characteristics.

3.7.4 Temperature

In this part, the effect of temperature is investigated. As the operating temperature

increases, the TSV capacitance increases quadratically as shown in Figure 3.18. As the

temperature increases, the supply of electrons (minority carrier) in a p-type substrate

increases exponentially according to the equation of the intrinsic carrier concentration in

[71] leading to more electrons in the inversion layer surrounding the oxide at the Si/SiO2

interface. This in turn leads to a significant increase in the TSV capacitance in the desired

Figure 3.18 Varying the temperature of operation of the substrate surrounding the TSV

Page 79: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

62

region of operation. Through the large number of electrons in the inversion layer, the

depletion region is almost bypassed and its existence becomes of nearly no value with

respect to the capacitance. As shown in Figure 3.18, the CV characteristics become

almost the constant Cox across the simulated voltage range.

3.7.5 TSV Metal Materials and Other Substrate Materials

Simulations were performed for physical dimensions reported by the ITRS [72] in

Table ‎3-I and according to the limitations reported for these dimensions such as TSV

diameter, depth, and oxide thickness [73]. The effect of oxide charges, TSV metal type,

and substrate material is shown for both local (smaller radius and depth) and global

(larger radius and depth) TSVs in Figure 3.19 and Figure 3.20 respectively. Aluminum

instead of copper shifts the CV curve to the left as aluminum has lower work function.

This shifting is desired for keeping the TSV capacitance small and constant in the region

of circuit operation. On the other hand, Silicon carbide, as an alternative substrate

material which is used for high voltage/ high temperature applications, shows lower

minimum capacitance due to higher resistivity compared to Silicon.

Global Interconnect Level 3D-SIC/3D-SOC Roadmap 2009-2012 2012-2015

Minimum TSV diameter

Minimum TSV depth

Intermediate Interconnect Level 3D-SIC Roadmap 2009-2012 2012-2015

Minimum TSV diameter

Minimum TSV depth

TABLE ‎3-I GLOBAL AND LOCAL TSV DIMENSIONS ACCORDING TO ITRS

When using TSV metals having a lower work function than Copper, the CV

characteristics shift to the left as in Figure 3.19 and Figure 3.20.

Page 80: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

63

Figure 3.20 Varying Oxide charges, substrate material and TSV metal for Global TSVs 𝑡𝑜𝑥 𝜇𝑚 𝐿 𝜇𝑚 𝑅

𝜇𝑚 𝑎𝑛𝑑 𝑇 𝐾

Figure 3.19 Varying Oxide charges, substrate material and TSV metal for Local TSVs 𝑡𝑜𝑥 𝜇𝑚 𝐿 𝜇𝑚 𝑅 𝜇𝑚 𝑎𝑛𝑑 𝑇 𝐾

Page 81: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

64

This shifting starts to be beneficial with a large oxide thickness . Due to

scaling of the transistor, the range of operating voltage is becoming smaller (01V). The

percentage change of the TSV capacitance in Figure 3.21 is negligible showing a nearly

stable capacitance value.

3.7.6 Temperature-and/or-Physical Dimensions Dependent Capacitance Model

After studying the effect of TSV parameters on its parasitics using device

simulations in the previous subsections, it’s now intuitive to derive a time-saving

empirical model for the parasitics instead of getting their values using the time-

consuming device simulations.

The use of a physics-based equation to guide curve fitting enhances the credibility

of the empirical model, not only inside but also beyond the simulated range. Thus, the

physical equation of coaxial capacitance was used to guide the tweaking of empirical

parameters to fit the proposed model to the extracted TSV capacitance from Sentaurus

Figure 3.21 Different TSV metals having a different work functions. The higher the work function, the more the CV

characteristics shifts to the right. This simulation is done at oxide thickness of 𝜇𝑚

Page 82: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

65

device simulator. The proposed empirical capacitance model is dependent on the physical

parameters which are TSV radius, oxide thickness, and length. Also the model is

temperature dependent. The accuracy of the proposed model is validated against the data

extracted from Sentaurus device simulator.

3.7.6.1 Physical Parameters Dependent Model for Specific Temperature ( )

( 2 3 14 (

) 10 )

(1

) , (3.8) in

(Note: All Lengths are in unit of )

Where is the predicted capacitance by the proposed empirical model.

((

)

) ( (

)

)

9

3.7.6.1.1 Values of Parameters of the Empirical Model:

a b c d e g ( ) i

12.5984 0.6382 3.7357e-6 -1.1864e-8 4.3515 480.9851 -0.7775 TABLE ‎3-II VALUES OF CURVE FITTING PARAMETERS IN THE PROPOSED PHYSICAL DIMENSIONS TSV DEPENDENT MODEL

3.7.6.1.2 Comparing Proposed Model against Extracted Values

1.2 0.06

50

44.2478 44.7822 -0.5344 -1.20774

1.6 0.08 52.6546 52.8835 -0.2289 -0.43472

2 0.1 58.9109 59.9023 -0.9914 -1.68288

0.8 0.04

10

7.35308 7.2055 0.1476 2.007322102

1.2 0.06 8.84956 9.0088 -0.1593 -1.8000895

1.6 0.08 10.53092 10.574 -0.0431 -0.40927098

Page 83: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

66

2 0.1 11.78218 11.9788 -0.1966 -1.6686216

2.8 0.14

40

57.05128 57.6525 -0.6012 -1.0537888

3.2 0.16 60.86024 62.0187 -1.1585 -1.90354162

3.6 0.18 64.08184 66.1567 -2.0748 -3.23773475

4 0.2 71.62448 70.1053 1.5192 2.121062519

TABLE ‎3-III PERCENTAGE ERROR BETWEEN THE PROPOSED EMPIRICAL CAPACITANCE MODEL AGAINST THE ACTUAL EXTRACTED

CAPACITANCE FROM DEVICE SIMULATIONS FOR THE PHYSICAL DIMENSIONS DEPENDENT MODEL

3.7.6.2 Temperature-and-Physical Dimensions Dependent Model

(( 2 3 14 (

) 10 )

(1

)) ,

( (

)

),

((

)

(

)

)( (

)

),

(

),

, where

3.7.6.2.1 Values of Parameters of the Empirical Model:

a b c d e g ( ) i j k m n o

4.1704 -0.5118 360.8067 4.4537 552.6583 10644.72 -0.0132 -28.7976 2.8056 7.53E-05 -1.2163 2.2524 TABLE ‎3-IV VALUES OF CURVE FITTING PARAMETERS IN THE PROPOSED TEMPERATURE-AND-PHYSICAL DIMENSIONS TSV DEPENDENT

MODEL

Page 84: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

67

3.7.6.2.2 Comparing Proposed Model against Extracted Values

0.8 0.04 50 36.7654 27 36.1647 0.6007 1.633873

1.2 0.06 50 44.2478 27 43.8864 0.3614 0.816764

1.6 0.08 50 52.6546 27 50.4891 2.1655 4.112651

2 0.1 50 58.9109 27 56.3746 2.5363 4.305315

2.4 0.12 50 70.1612 27 61.7487 8.4125 11.99025

2.8 0.14 50 71.3141 27 66.7337 4.5804 6.422853

3.2 0.16 50 76.0753 27 71.4089 4.6664 6.133923

3.6 0.18 50 80.1023 27 75.8297 4.2726 5.333929

4 0.2 50 89.5306 27 80.0362 9.4944 10.60464

3.2 0.16 25 37.9751 25 40.5943 -2.6192 -6.89715

3.6 0.18 25 39.97075 25 43.1755 -3.2047 -8.01761

4 0.2 25 44.64025 25 45.6256 -0.9854 -2.20742

0.4 0.02 35 14.16296 25 19.6183 -5.4553 -38.5181

0.8 0.04 35 25.70939 25 27.3389 -1.6295 -6.33815

1.2 0.06 35 30.95008 25 33.3896 -2.4395 -7.88205

1.6 0.08 35 36.77548 25 38.5715 -1.796 -4.88369

2 0.1 35 41.14411 25 43.1947 -2.0506 -4.98395

2.4 0.12 35 49.00651 25 47.4181 1.5884 3.241202

2.8 0.14 35 49.82985 25 51.3359 -1.506 -3.02228

2 0.1 20 24.267 50 25.5939 -1.3269 -5.46792

2.4 0.12 20 28.83436 50 27.8876 0.9468 3.283583

2.8 0.14 20 29.18908 50 30.0208 -0.8318 -2.8497

3.2 0.16 20 31.0704 50 32.0251 -0.9547 -3.0727

3.6 0.18 20 32.85744 50 33.922 -1.0646 -3.24006

4 0.2 20 37.04748 50 35.7273 1.3202 3.563535

2.4 0.12 50 77.9457 100 82.8908 -4.9451 -6.34429

2.8 0.14 50 78.3125 100 85.8987 -7.5862 -9.68709

3.2 0.16 50 82.8207 100 88.7088 -5.8881 -7.10945

3.6 0.18 50 88.5241 100 91.3582 -2.8341 -3.2015

4 0.2 50 101.409 100 93.8738 7.5352 7.430504

2 0.1 50 153.494 150 139.3914 14.1026 9.187721

2.4 0.12 50 146.919 150 141.5572 5.3618 3.649494

2.8 0.14 50 141.843 150 143.4967 -1.6537 -1.16587

3.2 0.16 50 140.774 150 145.2619 -4.4879 -3.18802

3.6 0.18 50 142.604 150 146.8888 -4.2848 -3.00468

4 0.2 50 148.484 150 148.4032 0.0808 0.054417

0.4 0.02 30 130.8594 200 128.5167 2.3427 1.790242

Page 85: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

68

0.8 0.04 30 131.3394 200 130.1539 1.1855 0.902623

1.2 0.06 30 131.4396 200 130.8605 0.5791 0.440583

1.6 0.08 30 131.4186 200 131.1329 0.2857 0.217397

2 0.1 30 131.3754 200 131.1608 0.2146 0.163349

2.4 0.12 30 131.3232 200 131.0396 0.2836 0.215956

2.8 0.14 30 131.3136 200 130.8249 0.4887 0.372163

3.2 0.16 30 131.2332 200 130.5517 0.6815 0.519305 TABLE ‎3-V PERCENTAGE ERROR BETWEEN THE PROPOSED EMPIRICAL CAPACITANCE MODEL AGAINST THE ACTUAL EXTRACTED

CAPACITANCE FROM DEVICE SIMULATIONS FOR THE TEMPERATURE-AND-PHYSICAL DIMENSIONS TSV DEPENDENT MODEL

3.8 TSV Array Coupling

Till now in this chapter we have been talking about a single TSV, without

mentioning anything about having coupling between TSVs. In the following subsections

we investigate the resistive, inductive and capacitive coupling that occurs when several

TSV form an array.

3.8.1 Resistive Coupling (5x5)

Figure 3.22 Normalized resistive coupling with respect to the central TSV for a 5-by-5 TSV array

Page 86: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

69

Through simulating a 5x5 array of TSVs in Ansoft Q3D Extractor and obtaining

the resistance coupling coefficient matrix as an output, plotting the row of the central

TSV in this matrix produces Figure 3.22.

Since capacitive and resistive coupling almost don’t exist beyond the nearest

neighbors surrounding the central TSV as shown in Figure 3.23 and Figure 3.22, we can

make use of this fact on a larger scale a TSV array (e.g. 20x20 array). The methodology

of working on a larger scale by making use of an existing model is called macro

modeling. In other words, macromodeling can be defined to be a formal multimodeling

framework that allows specialized model relationship types to be defined on existing

types of models and provides a new type of model with a formal semantics called a

macromodel.

Therefore, macro-modeling of TSVs in a large array (e.g. 20x20 array) can utilize

from this through working on different combinations of TSVs within the nearest

neighbors only and applying that on a larger scale (i.e. work on a 3x3 TSV array with

arbitrary combinations of some TSVs present and other TSVs not existing and making

use of the results in a 20x20 TSV array).

Page 87: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

70

3.8.2 Capacitive Coupling (5x5 and 9x9)

It’s clearly shown in Figure 3.23 and Figure 3.24 that the capacitive coupling is

almost insignificant beyond the nearest neighbors surrounding the TSV in the center of

the array. Also coupling in case of the nearest TSVs lying in the (North, East, West, and

South) with respect to the central TSV is higher than the coupling with the TSVs in the

direction of the diagonal. In case of the resistive coupling, the same can be demonstrated

through Figure .

Figure 3.23 Normalized capacitive coupling with respect to the central TSV for a 5-by-5 TSV array

Page 88: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

71

Figure 3.24 Normalized capacitive coupling with respect to the central TSV for a 9-by-9 TSV array

Page 89: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

72

3.8.3 Inductive Coupling (5x5 and 9x9)

On the contrary of the short range of both capacitive and resistive coupling,

inductive coupling has longer range as depicted in Figure 3.25 and Figure 3.26. This is

due to the fact that capacitive coupling is formed due the electric field lines while

inductive coupling results from magnetic field lines. The electric field lines are almost

shielded through the metals surrounding the central TSV; however, magnetic field lines

aren’t.

Figure 3.25 Normalized inductive coupling with respect to the central TSV for a 5-by-5 TSV array

Page 90: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

73

Figure 3.26 Normalized inductive coupling with respect to the central TSV for a 9-by-9 TSV array

Page 91: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

74

4 CHAPTER 4: TEMPERATURE-DEPENDENT RC MODEL AND BODY

CONTACT IMPACT ON TSV MODELING

n sub-section ‎3.7.6, a Temperature-and/or-Physical dimensions dependent

capacitance model was proposed. Although the proposed model was accurate, it was

relatively complex because of depending on several TSV parameters. In this chapter, a

simplified temperature-dependent RC model is proposed to be used when all other

physical parameters are kept constant. Designs that demand certain physical dimensions

for TSVs can make benefit from this model.

4.1 Temperature-Dependent RC Model

Through curve fitting the temperature-dependent C-V characteristics of the TSV, the

following temperature-dependent capacitance model was formulated. The resistance

model was formulated according to the temperature-dependent resistance equation in

[74].

( ) ,

where 9 1

is the measured TSV resistance at and is the thermal coefficient for copper

I

Figure 4.1 RC Temperature-dependent model for TSV

Page 92: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

75

9 6 3 2 9 ,

The capacitance at different temperatures is approximated by fitting the simulation

data with a third order polynomial.

Through simulating the RC model in Figure 4.1, the transient response in Figure

4.2 was obtained clarifying the significant effect that the temperature of operation

has on the signal delay via increasing the TSV capacitance. So, as the temperature

increases, the RC delay increases.

Figure 4.2 Transient response of the TSV RC-Temperature dependent model at different temperatures in

Page 93: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

76

4.2 Body Contact Effect

The grounded body contacts around TSVs have a significant effect on the

performance of the signal passing through the TSV mainly due to the shielding effect of

those contacts. The effect of shielding should be taken care of by the proposed model in

order for the model to be accurate. However, there are endless possibilities of body

contacts’ patterns due to the variation in both the distance and orientation of the body

contact with respect to the TSV. Also, that there can be a variation in the number of body

contacts surrounding the TSV according to the adopted design methodology. Therefore,

there’s a need for an algorithm to capture the effect of the surrounding body contacts and

simplify the general model of the TSV structure while still being accurate. In the

following section, a proposed body contact algorithm is presented.

4.3 Proposed Body Contact Algorithm

This algorithm follows general steps to obtain a simple and accurate model for the

TSV while taking the surrounding body contacts into consideration. These steps are

enlisted below:

1. Divide the space around TSV into smaller equal angular subspaces.

2. Determine the size of each angular subspace based on an acceptable variation in

capacitance value.

3. Choose the nearest body contact in each angular subspace, thus reducing the

complexity of the structure by decreasing the number of the considered body

contacts.

Page 94: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

77

Then the proposed algorithm models the capacitance in three simple steps as

follows:

1. Calculate the capacitance in case of a reference body contact (marked with an

asterisk as in Figure 4.3) alone.

2. Introduce and rotate an additional body contact (Hollow red square) with

respect to a reference body contact and calculating the TSV capacitance every

5° of rotation as shown in Figure 4.4.

3. According to an acceptable percentage for variation in capacitance, set the size

of the subspace.

Figure 4.3 Proposed dominant body contact algorithm. The chosen body contact is marked by an asterisk.

Page 95: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

78

It was found that capacitance of the TSV increased by a maximum 10% more than the

initial case for a rotational range of 15° with respect to (w.r.t) the reference body contact

as shown in Table ‎4-I and Figure 4.5.

TABLE ‎4-I VARIATION OF CAPACITANCE WITH RESPECT TO THE

INITIAL CASE

Rotation

( ) C (fF) Variation w.r.t

initial case

(%)

0 36.593 4.890074

5 36.5772 4.844785

10 37.502 7.495629

15 38.2475 9.632528

Figure 4.4 Rotating an additional body contact (Hollow red square) with respect to a reference body

contact (marked with an asterisk) and calculating the TSV capacitance every of rotation.

Figure 4.5 Variation of 10% with respect to the initial case

when the introduced body contact is rotated in both

directions.

Page 96: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

79

Therefore, based on an acceptable variation in capacitance value, the proposed

algorithm can reduce the complexity of the TSV structure by reducing the number of the

considered body contacts. As a future work, the proposed body contact algorithm can be

combined with the TSV model proposed in sub-section ‎3.7.6 to get a more general TSV

model that depends on the orientation, distance and number of the surrounding body

contacts.

Page 97: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

80

5 CHAPTER 5: CONCLUSIONS

5.1 Contributions

he research objective of this thesis is to obtain a lumped element model that

matches the behavior of a real TSV focusing on its parasitic capacitance. The

main contributions of this research can be summarized in the following points:

Efficient capacitance extraction using Sentaurus device simulator. The device

simulator is the best accurate tool, after measuring a fabricated TSV, to get results

from. A device simulator is used to test the implementation of a device or

structure before actually implementing it on Silicon. Thus the capacitance

extracted from a device simulator is accurate and as close as possible to that

measured from real fabrication.

Effect of several TSV design parameters and operating temperature on the

TSV parasitics. Simulations with different dimensions, oxide thickness and

operating temperatures for TSV have been run to studying their effect on TSV

parasitics.

A Temperature-and/or-Physical dimensions dependent empirical capacitance

model was formulated. To avoid running the time-consuming device simulations

to get the values for the TSV parasitics, an empirical model for the parasitics

capacitance was proposed. This model was guided by a physics-based equation

for accuracy inside and outside the fitting range.

T

Page 98: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

81

TSV array coupling. The effect of resistive, capacitive and inductive coupling

between the central TSV and the surrounding TSVs in a TSV array on

performance was investigated.

Temperature dependent RC model. A simpler RC model for fixed TSV

dimensions was proposed. This model is only temperature dependent and can be

beneficial for designs that have fixed TSV dimensions.

Body Contact Algorithm. Since the surrounding body contacts showed a

significant effect on TSV parasicts, an algorithm was proposed to simplify the

TSV structure with an acceptable accuracy. This algorithm reduces the

complexity of the TSV structure by decreasing the number of the considered body

contacts.

5.2 Future Work

The current contributions of this thesis can be further improved to become more

applicable on practical 3-D interconnection designs by completing the following future

work:

Integration of the surrounding body contact location and orientation, with respect

to the TSV, in the empirical capacitance formula.

Inclusion of many other parameters in the proposed capacitive model such as the

biasing voltage, substrate doping level, Oxide trapped charges, coupling between

TSVs, number of body contacts with their distance from the TSV and their

orientation with respect to the TSV.

Page 99: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

82

Proposing empirical inductive and resistive models to fully capture the behavior

of a TSV.

5.3 Publications

In the course of the thesis research, the following conference papers have been published.

K. Salah, A. El-Rouby, Y. Ismail, H. Ragai, K. Amin, ”Compact TSV Modeling

for Low Power Application”, ICEAC 2010.

K. Salah, A. El-Rouby, H. Ragai, K. Amin, Y. Ismail, “Compact lumped element

model for TSV in 3D-ICs”, ISCAS 2011.

Page 100: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

83

6 LIST OF REFERENCE

[1] C. Weiner, "How the Transistor Emerged," IEEE Spectrum, pp. 24-33, January 1977.

[2] P. H. Abelson and A. L. Hammond, "The Electronics Revolution," Science, vol. 195, no. 4283, pp.

1087-1091, March 1977.

[3] T. Forester, "The Microelectronics Revolution," The MIT Press, Cambridge, Massachusetts, 1981.

[4] K. C. Saraswat and F. Mohammadi, "Effect of Scaling of Interconnections on the Time Delay of

VLSI Circuits," IEEE Transactions on Electron Devices, vol. ED-29, no. 4, pp. 645-650, April

1982.

[5] International Technology Roadmap for Semiconductors, ITRS, 2005.

[6] C. Akrout et al., "A 480-MHz RISC Microprocessorin a 0.12-mm Leff CMOS Technology with

Copper Interconnects," IEEE Journal of Solid-State Circuits, vol. 33, no. 11, pp. 1609-1616,

November 1998.

[7] D. H. Allen et al., "A 0.2 mm 1.8 V SOI 550 MHz 64 b Power PC Microprocessor with Copper

Interconnects," in Proceedings of the IEEE International Solid-State Circuits Conference, February

1999, pp. 438-439.

[8] M. Naik et al., "Process Integration of Double Level Copper-Low k (k=2.8) Interconnect," in

Proceedings of the IEEE International Interconnect Technology Conference, May 1999, pp. 181-

183.

[9] P. Zarkesh-Ha et al., "The Impact of Cu/Low k on Chip Performance," in Proceedings of the IEEE

International ASIC/SoC Conference, September 1999, pp. 257-261.

[10] Y. Takao et al., "A 0.11 mm Technology with Copper and Very-Low-k Interconnects for High

Performance System-on-Chip Cores," in Proceedings of the IEEE International Electron Device

Meeting, December 2000, pp. 559-562.

[11] K. M. Lepak, I. Luwandi, and L. He, "Simultaneous Shield Insertion and Net Ordering under

Explicit RLC Noise Constraint," in Proceedings of the IEEE ACM Design Automation Conference,

June 2001, pp. 199-202.

[12] H. B. Bakoglu and J. D. Meindl, "Optimal Interconnection Circuits for VLSI," IEEE Transactions

on Electron Devices, vol. ED-32, no. 5, pp. 903-909, May 1985.

[13] P. Fishburn, "Shaping a VLSI Wire to Minimize Elmore Delay," in Proceedings of the IEEE

European Design and Test Conference, 1997, pp. 244-251.

[14] M. A. El-Moursy and E. G. Friedman, "Exponentially Tapered H-Tree Clock Distribution

Networks," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 13, no. 8, pp.

Page 101: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

84

971-975, August 2005.

[15] J. D. Meindl, "Interconnect Opportunities for Gigascale Integration," IEEE Micro, vol. 23, no. 3,

pp. 28-35, June 2003.

[16] R. Venkatesan, J. A. Davis, K. A. Bowman, and J. D. Meindl, "Optimal n-tier Interconnect

Architectures for Gigascale Integration (GSI)," IEEE Transactions on Very Large Integration

(VLSI) Systems, vol. 9, no. 6, pp. 899-912, December 2001.

[17] G. T. Goele et al., "Vertical Single Gate CMOS Inverters on Laser-Processed Multilayer

Substrates," Proceedings of the IEEE International Electron Device Meetings, vol. 27, pp. 554-556,

December 1981.

[18] J. W. Joyner, P. Zarkesh-Ha, J. A. Davis, and J. D. Meindl, "A Three-Dimensional Stochastic Wire-

Length Distribution for Variable Separation of Strata," in Proceedings of the IEEE International

Interconnect Technology Conference, June 2000, pp. 126-128.

[19] M. Bamal, S. List, M. Stucchi, A. Verhulst, M. Van Hove, R. Cartuyvels,G. Beyer, and K. Maex,

"Performance comparison of interconnect technology and architecture options for deep submicron

technology nodes," in Proc. Int. Interconnect Technol. Conf., 2006, pp. 202–204.

[20] M. Koyanagi et al., "Future System-on-Silicon LSI Chips," IEEE Micro, vol. 18, no. 4, pp. 17-22,

July/August 1998.

[21] V. K. Jain, S. Bhanja, G. H. Chapman, and L. Doddannagari, "A Highly Reconfigurable Computing

Array: DSP Plane of a 3D Heterogeneous SoC," in Proceedings of the IEEE International Systems

on Chip Conference, September 2005, pp. 243-246.

[22] S. Kim, C. Liu, L. Xue, and S. Tiwari, "Crosstalk reduction in mixed-signal 3-D integrated circuits

with interdevice layer ground planes," IEEE Trans. Electron Devices, vol. 52, no. 7, pp. 1459–1467,

July 2005.

[23] K. Banerjee, S. J. Souri, P. Kapur, and K. C. Saraswat, "3-D ICS: A novel chip design for

improving deep-submicrometer interconnect performance and systems-on-chip integration," Proc.

IEEE, vol. 89, no. 5, pp. 602–633, May 2001.

[24] B. Goplen and S. S. Sapatnekar, "Placement of thermal vias in 3-D ICs using various thermal

objectives," IEEE Trans. Comput.-Aided Design Integr. Circuits Syst., vol. 25, no. 4, pp. 692–709,

April 2006.

[25] Z. Li, X. Hong, Q. Zhou, S. Zeng, J. Bian, W. Yu, H. H. Yang,V. Pitchumani, and C.-K. Cheng,

"Efficient thermal via planning approach and its application in 3-D floorplanning," IEEE Trans.

Comput.-Aided Design Integr. Circuits Syst., vol. 26, no. 4, pp. 645–658, April 2007.

[26] J. M. Koo, S. Im, L. Jiang, and K. E. Goodson, "Integrated microchannel cooling for three-

dimensional circuit architectures," J. Heat Transf, vol. 127, no. 1, pp. 49–58, January 2007.

Page 102: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

85

[27] B. Dang, M. Bakir, and J. Meindl, "Integrated thermal-fluidic i/o interconnects for an on-chip

microchannel heat sink," IEEE Electron Device Lett., vol. 27, no. 2, pp. 117–119, Feb. 2006.

[28] I. Savidis and E. G. Friedman, "Electrical Modeling and Characterization of 3-D Vias," in

Proceedings of the IEEE International Symposium on Circuits and Systems, May 2008, pp. 784-

787.

[29] V. H. Nguyen and P. Christie, "The Impact of Interstratal Interconnect Density on the Performance

of Three-Dimensional Integrated Circuits," in Proceedings of the IEEE/ACM International

Workshop on System Level Interconnect Prediction, April April 2005, pp. 73-77.

[30] Y. Fukui, Y. Yano, H. Juso, Y. Matsune, K. Miyata, A. Narai, Y. Sota, Y.Takeda, K. Fujita, M.

Kada, "Triple-Chip Stacked CSP," in Proc. IEEE Electronic Components and Technology

Conference, May 2000, pp. 385-389.

[31] E. Milke and T. Mueller and A. Bischo®, "New Bonding Wire for Fine Pitch Applications," in

Proc. IEEE Electronics Packaging Technology Conference, 2007, pp. 750-754.

[32] T. Zhou, M. Gerber, and M. Dreiza, "Stacked Die Package Design Guidelines," in Proc.

International Symposium on Microelectronics, Nov. 2004.

[33] J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, M. J. Interrante,C. S. Patel, R. J. Polastre,

K. Sakuma, R. Sirdeshmukh, E. J. Sprogis, S. M.Sri-Jayantha, A. M. Stephens, A. W. Topol, C. K.

Tsang, B. C. Webb, and S. L.Wright, "Three-Dimensional Silicon Integration," IBM Journal of

Reasearch and Development, vol. 52, no. 6, pp. 553-569, Nov. 2008.

[34] U. Kang, H.-J. Chung, S. Heo, S.-H. Ahn, H. Lee, S.-H. Cha, J. Ahn, D. Kwon,J. H. Kim, J.-W.

Lee, H.-S. Joo, W.-S. Kim, H.-K. Kim, E.-M. Lee, S.-R. Kim,K.-H. Ma, D.-H. Jang, N.-S. Kim, M.-

S. Choi, S.-J. Oh, J.-B. Lee, T.-K. Jung,J.-H. Yoo, and C. Kim, "8Gb 3D DDR DRAM Using

Through-Silicon-Via Technology," in Proc. IEEE International Solid-State Circuits Conference,

Feb. 2009, pp. 130-132.

[35] K. Takahashi and M. Sekiguchi, "Through Silicon Via and 3-D Wafer/Chip Stacking Technology,"

Digest of Technical Papers 2006 Symposium on VLSI Circuits, pp. 89-92, Jun. 2006.

[36] L. W. Schaper, S. L. Burkett, S. Spiesshoefer, G. V. Vangara, Z. Rahman, and S. Polamreddy,

"Architectural Implications and Process Development of 3-D VLSI Z-axis Interconnects Using

Through Silicon Vias," IEEE Trans. Advanced Packaging, vol. 28, no. 3, pp. 356-366, Aug. 2005.

[37] V. Kripesh, S. W. Yoon, V. P. Ganesh, N. Khan, M. D. Rotaru, W. Fang, and M. K. Iyer, "Three-

Dimensional System-in-Package Using Stacked Silicon Platform Technology," IEEE Trans.

Advanced Packaging, vol. 28, no. 3, pp. 377-386, Aug. 2005.

[38] D. M. Jang, C. Ryu, K. Y. Lee, B. H. Cho, J. Kim, T. S. Oh, W. J. Lee, and J. Yu, "Development

and Evaluation of 3-D SiP with Vertically Interconnected Through Silicon Vias (TSV)," in Proc.

Electronic Components and Technology Conference, May 2007, pp. 847-852.

Page 103: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

86

[39] C. Ryu, D. Chung, Junho Lee, K. Lee, T. Oh, J. Kim, "High frequency electrical circuit model of

chip-to-chip vertical via interconnection for 3-D chip stacking package," in IEEE 14th Topical

Meeting on Electrical Performance of Electronic Packaging, Oct. 2005, pp. 151-154.

J. Pak, C. Ryu, J. Kim, "Electrical Characterization of Through Silicon Via (TSV) depending on

Structural and Material Parameters based on 3D Full Wave Simulation," in Electromagnetic

Electronic Materials and Packaging, 2007.Conf., May.2008, pp. 351-354.

C. Ryu, J. Lee, H. Lee, K. Lee, T. Oh, J. Kim, "High Frequency Electrical Model of Through

Wafer Via for 3- D Stacked Chip Packaging," in Electronics System integration Technology Conf,

vol. 1, Sept. 2006, pp. 215-220.

Dong Min Jang, Chunghyun Ryu, Kwang Yong Lee, Byeong Hoon Cho, Joungho Kim, Tae Sung

Oh, Won Jong Lee, and Jin Yu, "Development and Evaluation of 3- D SiP with Vertically

Interconnected Through Silicon Vias (TSV)," in Proc. of ECTC, 2007, pp. 847-852.

Chunghyun Ryu, Joungho Kim Jun So Pak, "Multi-Stacked Through-Silicon-Via Effects on Signal

Integrity and Power In tegrity for Application of 3-Dimensional Stacked-Chip-Package,".

Soon Wee Ho, Seung Wook Yoon, Qiaoer Zhou, Krishnamachar Pasad, Vaidyanathan Kripesh

and John H. Lau, "High RF Performance TSV Silicon Carrier for High Frequency Application," in

Electronic Components and Technology Conference, 2008.

Adam Beece, Kenneth Rose, Tong Zhang, and Jian Qiang Lu Zheng Xu, "Modeling and

Evaluation for Electrical Characteristics of Through-Strata-Vias (TSVs) in Three-Dimensional

Integration," in 3D System Integration, 2009. 3DIC 2009. IEEE International Conference.

Jongjoo Shim, Eakhwan Song, Jun So Pak, Junho Lee, Hyungdong Lee, Kunwoo Park, and

Joungho Kim Jonghyun Cho, "Active Circuit to Through Silicon Via (TSV) Noise Coupling,"

Electrical Performance of Electronic Packaging and Systems, 2009. EPEPS '09. IEEE 18th

Conference.

Joohee Kim, Eakhwan Song, Jeonghyeon Cho, Jun So Pak, Junho Lee, Hyungdong Lee, Kunwoo

Park, and Joungho Kim, "Through Silicon Via (TSV) Equalizer," in Electrical Performance of

Electronic Packaging and Systems, 2009. EPEPS '09. IEEE 18th Conference.

M. Rousseau, O. Rozeau, G. Cibrario, G. Le Carval, M.-A. Jaud, P. Leduc, A. Farcy, A. Marty,

"Through-silicon via based 3D IC technology: Electrostatic simulations for design methodology,"

in IMAPS Device Packaging Conference, Phoenix, AZ : United States, 2008.

[40]

[41]

[42]

[43]

[44]

[45]

[46]

[47]

[48]

Page 104: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

87

[49] I. Savidis and E. G. Friedman, "Electrical Modeling and Characterization of 3-D Vias," in Proc.

IEEE Int. Symp. Circuits Syst., May 2008.

Ioannis Savidis and E. G. Friedman, "Closed-Form Expressions of 3-D Via Resistance, Inductance,

and Capacitance," IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 56, no. 9,

SEPTEMBER 2009.

R. Weerasekera, D. Pamunuwa, M. Grange, H. Tenhunen, and L.-R. Zheng, "Closed-form

Equations for Through-Silicon Via (TSV) Parasitics in 3-D Integrated Circuits (ICs)," in Proc.

Workshop 3-D Integr., DATE Conf., Apr. 2009.

E.Eid, T. Lacrevaz, S. de Rivaz, C. Bermond, B. Flchet, F. Calmon, C. Gontrand, A. Farcy,

L.Cadix, and P. Ancey, "Predictive High Frequency Effects of Substrate Coupling in 3D Integrated

Circuits Stacking," in 3D System Integration, 2009. 3DIC 2009. IEEE International Conference.

Lionel Cadix, Alexis Farcy, Cdric Bermond, Christine Fuchs, and Patrick Leduc, "Modelling of

Through Silicon Via RF Performance and Impact on Signal Transmission in 3D Integrated

Circuits," in 3D System Integration, 2009. 3DIC 2009. IEEE International Conference.

Tapobrata Bandyopadhyay, Ritwik Chatterjee, Daehyun Chung, Madhavan Swaminathan and Rao

Tummala, "Electrical Modeling of Through Silicon and Package Vias," in 3D System Integration,

2009. 3DIC 2009. IEEE International Conference.

Chuan Xu, Hong Li, Roberto Suaya, and Kaustav Baner-jee, "Compact AC Modeling and Analysis

of Cu, W, and CNT based Through-Silicon Vias (TSVs) in 3-D ICs," in IEDM09-521, 2009.

Michele Stucchi, Kristin De Meyer, and Wim Dehaene, Senior Guruprasad Katti, "Electrical

Modeling and Characterization of Through Silicon via for Three-Dimensional ICs," IEEE

TRANSACTIONS ON ELECTRON DEVICES, vol. 57, no. 1, JANUARY 2010.

K.Banerjee, S.Im and N.Srivastava” Interconnect Modeling and Analysis in the Nanometer Era:

Cu and Beyond “ Proceedings of the 22nd Advanced Metallization Conference, Colorado Springs,

CO, September 27-29, 2005.

T. Szirtes, Applied Dimensional Analysis and Modeling. New York: McGraw-Hill, 1997.

D. Zito, D. Pepe and B. Neri, "Wide-Band Frequency-Independent Equivalent Circuit Model for

Integrated Spiral Inductors in (Bi)CMOS Technology," in Electronics, Circuits and Systems, 2006.

ICECS '06. 13th IEEE International Conference.

Yu Cao, Robert A. Groves, Xuejue Huang, Noah D. Zamdmer, Jean-Olivier Plouchart, Richard A.

Wachnik,Tsu-Jae King, and Chenming Hu, "Frequency-Independent Equivalent-Circuit Model for

On-Chip Spiral Inductors," IEEE JOURNAL OF SOLID-STATE CIRCUITS, vol. 38, no. 3,

MARCH 2003.

[50]

[51]

[52]

[53]

[54]

[55]

[56]

[57]

[58]

[59]

[60]

Page 105: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

88

[61] S. M. Sze and Kwok Kwok Ng, Physics of semiconductor.: Wiley, John & Sons, Inc. , October

2006.

Y.L.Chow and M.M.A. Salama, "A Simplified Method for Calculating the Substation Grounding

Grid Resistance", Power Delivery, IEEE Transactions, pp. 736 - 742, April 1994.

http://www.ansoft.com/products/si/hfss.

http://www.ansoft.com/products/si/q3dextractor.

http://www.awrcorp.com.

http://www.mentor.com/products/ic_nanometer_design/analog-mixed-signal-verification/eldo/

Sentaurus Device User Guide, Ver. A-2008.09, Synopsis Inc., Mountain View, CA, Sep. 2008.

[62]

[63]

[64]

[65]

[66]

[67]

[68] http://www.synopsys.com/TOOLS/TCAD/DEVICESIMULATION/Pages/SentaurusDevice.aspx.

[69] Wolfgang Fichtner, Donald J. Rose, and Randolph E. Bank, "Semiconductor Device Simulatio",

IEEE TRANSACTIONS ON ELECTRON DEVICES, vol. 30, no. 9, pp. 1018-1030, September 1983.

[70] Narain Arora, “MOSFET MODELING FOR VLSI SIMULATION Theory and practice”: World

Scientific Publishing Co. Pte. Ltd, 2007, ch. 4, pp. 121-166

[71] Yannis Tsividis, Operation and Modeling of the MOS transistor, Second Edition.

[72] ITRS, International Technology Roadmap for Semiconductors, 2010.

[73] Ioannis Savidis and Eby G. Friedman, Fellow, IEEE, “Closed-Form Expressions of 3-D Via

Resistance, Inductance, and Capacitance”, IEEE TRANSACTIONS ON ELECTRON DEVICES,

vol. 30, no. 9, Sep. 2009.

[74] Spectre Circuit Simulator Reference (Cadence), June 2008.

Page 106: MODELING AND CHARACTERIZATION OF THROUGH-SILICION …k4amin/MODELING AND... · 2020-03-17 · Alaa El-Rouby and Professor Yehea Ismail for supporting me throughout this thesis and

89