MICROPROCESSOR DESIGNING by UPKAR

download MICROPROCESSOR DESIGNING by UPKAR

of 42

Transcript of MICROPROCESSOR DESIGNING by UPKAR

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    1/42

    SWAMI VIVEKANAND COLLEGE OF ENGINEERING

    A literature survey on-

    ICROPROCESSOResign using VLSI technologyShyam Kumar [0822ec071099]

    Uddhav Shendurnikar [0822ec071112]

    Upkar Chauhan [0822ec071113]

    Vishakha Nigam [0822ec071118]

    Presented by group members-

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    2/42

    It is the heart of a microcomputer system. It is a programmable integrated device that has computing & decision makingcapability similar to CPU.

    It comprises of three parts- ALU, control unit, register file.

    These are connected with data bus, control bus & internal bus

    It communicates &operates in binary nos. 0 & 1 called bits. Each P has a fixed set of instructions in form of binary patterns calledmachine language.

    Binary nos are given abbreviated names for interpreting human beings calledmnemonics.

    It can be instructed to perform given tasks within its capability. A programmercan select instructions & ask the P to perform various tasks on a given setof data

    INTRODUCTION

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    3/42

    A MICROPROCESSOR-BASED system can be represented with four components -

    Memory

    Input

    Output

    MicroProcessor

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    4/42

    Components of microprocessor

    Ctrl unit

    ALURegister

    Ctrl

    bus

    Data bus

    Internalbus

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    5/42

    Control UnitThe control unit, as described above, reads the instructions, and generates thenecessary digital signals to operate the other components.

    Arithmetic Logic UnitALU is the part of the microprocessor that performs arithmetic operations. ALUs cantypically add, subtract, divide, multiply, and perform logical operations of two numberslike AND, OR, X-OR.

    RegistersAnything that can be used to store bits of information, in a way that all the bits of theregister can be written to or read out simultaneously.The registers are the fastest accessible memory locations, and because they are so

    fast, there are typically very few of them. In most processors, there are fewer than 32registers.The size of the registers defines the size of the computer.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    6/42

    Types of Processors

    The vast majority of microprocessors are embedded microcontrollers. The secondmost common type of processors are common desktop processors, such as Intel'sPentium or AMD's Athlon.

    Microprocessors come in "standard sizes" of 8 bits, 16 bits, 32 bits, and 64 bits.

    Some microprocessors come in other "non-standard" sizes such as 4 bits, 12 bits,18 bits &24bits

    The number of bits represent how much physical memory can be directly addressedby the CPU & amount of bits that can be read by one read/write operation.

    for ex- 8 bit processors can read/write 1 byte at a time and can directly address 256

    bytes

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    7/42

    p applications are classified primarily in two categories :

    Reprogrammablesystems

    Embeddedsystems

    qIn reprogrammable systems microprocessor is used for computing & dataprocessing. such as disks , CD-ROMs, printers

    qqIn embedded systems, microprocessor is a part of a final product & not

    available for reprogramming to end user such as photo copy machine

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    8/42

    General Purpose Versus Specific Use

    vMicroprocessors that are capable of performing a wide range of tasks are called

    general purpose microprocessors. These are typically the kind of CPUs found indesktop computer systems.

    vvDSP (digital signal microprocessor) is a chip that is specifically designed for fast

    arithmetic operations, especially addition and multiplication. These chips aredesigned with processing speed in mind.

    vv"microcontrollers" are microprocessors with additional hardware integrated into asingle chip. Many microcontrollers have RAM, ROM, A/D and D/A converters,interrupt controllers, timers and even oscillators built into the chip itself.

    vvComputer graphics are so complicated that functions to process the visuals of video

    and game applications have been offloaded to a special type of processor.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    9/42

    Types of Use

    Signal ProcessingSignal processing is an area that demands high performance from microcontroller

    chips to perform complex mathematical tasks. Ex- decoding of digital television andradio signals.

    Real Time ApplicationsSome tasks need to be performed so quickly that even the slightest delay orinefficiency can be detrimental. These applications are known as "real time systems.

    Ex- anti-lock braking system (ABS) controller in modern automobiles.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    10/42

    Throughput and Routing

    It uses where data is moved from one particular inputto an output, without necessarily requiring any processing.

    An example is an internet router, that reads in datapackets and sends them out on a different port.

    Sensor monitoring

    Many processors, especially small embedded processorsare used to monitor sensors. The microprocessor will eitherdigitize and filter the sensor signals, or it will read the signals&produce status outputs.

    Graphics

    Processing of digital graphics is an area where specialized

    processor units are frequently employed. With the advent ofdigital television, graphics processors are becoming morecommon. In digital video, a million pixels or more need to beprocessed for every single frame

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    11/42

    Abstraction Layers

    qComputer systems are developed in layers known as layers of abstraction.

    qIt allow people to develop computer components (hardware and software) without

    having to worry about the internal design of the other layers in the system.

    qAt the highest level are the user-interface programs that people use on their

    computers.

    qAt the lowest level are the transistor layouts of the individual computer

    components.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    12/42

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    13/42

    Moore's LawA common law that governs the world of microprocessors is Moore's Law.It states that the number of transistors on a single chip at the same price will double

    every 18 to 24 months.

    Current microprocessor chips contain millions of transistors and the number isgrowing rapidly.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    14/42

    Clock Rates

    Microprocessors are typically discussed in terms of their clock speed.

    It is measured in hertz (or MHz or GHz). A hertz is a "cycle per second".clock rate is speed at which microprocessor executes instructions

    Faster the clock more instructions the CPU can execute per second

    Von Neumann Architecture Early computer programs were hard wired. To reprogram a computer meant

    changing the hardware switches manually, that took a long time with potential

    errors. Computer memory was only used for storing data.

    John von Neumann suggested that data and programs should be stored togetherin memory, it is now called Von Neumann architecture.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    15/42

    A Von Neumann microprocessor is a processor that follows this pattern:

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    16/42

    The Harvard architecture is a computer architecture with physically separatestorage and signal pathways for instructions and data. The term originated from

    the harvard mark-1 relay-based computer

    HARVARD ARCHITECTURE

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    17/42

    ontrast with von Neumann architectures

    Under pure VON NEUMAN architecture the CPU can be either reading aninstruction or reading/writing data from/to the memory. Both cannot occur at thesame time since the instructions and data use the same bus system.

    In a computer using the Harvard architecture, the CPU can both read aninstruction and perform a data memory access at the same time, even without acache.

    A Harvard architecture computer can thus be faster for a given circuit complexity

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    18/42

    Contrast with Modified Harvard architecture

    A modified harvard architecture machine is very much like a Harvardarchitecture machine

    The most common modification includes separate instruction and data cachesbacked by a common address space.

    While the CPU executes from cache, it acts as a pure Harvard machine, Whenaccessing backing memory, it acts like a von Neumann machine

    This modification is widespread in modern processors such as the ARMarchitecture and X86 processors. It is sometimes loosely called a Harvard

    architecture, overlooking the fact that it is actually "modified".

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    19/42

    Microprocessor selectionSELECTION CRITERIA

    Speed compatibility of the microprocessor with peripherals.

    The time-critical behaviour of the application.

    The size of the program required to implement certain functions.

    Price

    Power consumption

    Performance

    Availability Software support

    Code density

    FACTORS TO BE CONSIDERD WHILE SELECTINGTHE MICROPROCESSOR

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    20/42

    RISC PROCESSOR

    It is an acronym for Reduced Instruction Set Computer, emphasizes simplicity &efficiency

    Objective is to maximize speed by reducing clock cycle per instructionConsidering any assembly level language program it uses MOV instruction more

    frequently than MUL instruction than there is gain in speedEx- SPARC, IBM, motorolas power PCs & ARM based processors

    Salient features of a RISC processor are as follows-

    These are designed using hard-wired ctrl. For ex- one bit dedicated for oneinstruction

    All RISC instructions have fixed formats, no microcode is requiredIt executes most of the instructions in a single clock cycle

    It includes only register to register load & storeIt has several general purpose registers &/ large cache memories, which supportsfast access of data

    S/W can take advantage of more concurrency

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    21/42

    Advantages of RISC

    Advantages of a reduced instruction set machine:FasterSimple hardwareShorter design cycle due to simpler hardware

    Disadvantages of RISC

    Drawbacks of a reduced instruction set computer includeProgrammer must pay close attention to instruction scheduling so that the

    processor does not spend a large amount of time waiting for an instruction toexecute

    Debugging can be difficult due to the instruction scheduling Require very fastmemory systems to feed them instructions

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    22/42

    CISC PROCESSOR

    vit is an acronym for Complex Instruction Set ComputervEmphasis is to build complex instruction directly into hardwarevEach instruction can execute several low level instructionsvCompiler has to do a very little work to translate high level into assemblyvDue to length of the code is short, very little RAM is required to store instructionsvEx-CDC 6600, system/360, VAX, PDP-11, INTEL & AMDvAdvantages of complex instruction set machines (CISC)

    vLess expensive due to the use of microcode; no need to hardwire a control unitvFewer instructions could be used to implement a given task, allowing for more

    efficient use of memoryvSimplified compiler, because the micro program instruction sets could be written to

    match the constructs of high-level languages

    vMore instructions can fit into the cache, since the instructions are not a fixed size

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    23/42

    Disadvantages of CISC

    vAlthough the CISC philosophy did much to improve computer performance,

    it still had its drawbacks:

    vInstruction sets and chip hardware became more complex with each

    generation of computers, since earlier generations of a processor family

    were contained as a subset in every new version

    vDifferent instructions take different amount of time to execute due to their

    variable-length

    vMany instructions are not used frequently; Approximately 20% of the

    available instructions are used in a typical program

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    24/42

    The design description for an IC described in terms of 3 domains

    qBehavioral domain- one is free to choose a sequential or parallel algorithmwhich is available

    qStructural domain- the decision about particular logic family , clocking strategyor ckt style to use is initially unbound

    qPhysical domain- it provides how the ckt is implemented in terms of chips,boards & cabinets to designer

    These domains are hierarchically divided into levels of design abstraction-

    qArchitectural or functional level

    qRegister-transfer level (RTL)

    qLogic level

    qCircuit level

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    25/42

    Y-CHART

    in

    st

    ru

    ct

    io

    n

    st

    at

    e

    me

    nt

    pr

    og

    ra

    m

    ap

    pli

    ca

    ti

    on

    process

    or

    t

    ransistor

    gat

    e

    ALU

    processor

    cell

    module

    chip

    board

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    26/42

    Design capture tool

    qHDL DesignqSchematic design

    qLayout designqFloor PlanningqChip Composition

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    27/42

    HDL Design

    Wide variety of languages include:Hardware description language (HDL)

    VHDL, ELLA, VerilogPopular HDL catering for hardware notion, such as bit vector,signals, and time within the native languageRuntime operating support, include compilers, debuggers andsimulators

    Modified high level language- C, Pascal & LispElements of modern computer language, e.g. structure,parametization, conditionals, looping and hierarchy

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    28/42

    Schematic Design

    Traditional method to capture a digital system

    Easy to understand but HDL are more easily modified

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    29/42

    Layout Design

    Layout can be captured via code or interactive graphics editors

    Good color editor is a strong requirement

    Layout editor:

    interface to Design Rule Checking (DRC) program to allow interactive

    checking of DRC error

    layout extraction program to examine circuit connectivity issues

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    30/42

    Floor Planning

    Exercise of blocks arranging of layoutwithin a chip to minimize area ormaximize speedFloor planning editor provide:

    graphical feedback about the size

    & placement of modules withoutshowing internal layout detailsConnectivity info betweenmodules in the form of rats nestwiring diagramRelative density of wiringColor display of routing densitythat allows designer to replace

    and re-route to achieve betterplacement and routing

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    31/42

    Structural to layout synthesisA network of logic gates and registers is available it automatically converted

    into layoutGate arrays & standard cell design use this approachThere are two main phases- Placement & Routing

    PLACEMENTIt is a task of placing modules together to minimize area or cycle timeTwo main algorithms are there-

    Min-cut algorithm- it takes blocks at top level of chip to be placed and findequal area grouping with minimum signal interconnection.it is very fast &givesgood placement

    Thermal annealing- another technique in which the movement of modulesis likened to thermal annealing also used. As the layout cools the routing & timingimproves. To avoid minima the melt is heated & then re-cooled base on annealing

    schedule

    ROUTINGIt takes a module placement and list of connections and connects modules with

    wiresTypes of routers include channel router, switch box router & maze routerTechnology is mature

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    32/42

    D

    Chip Composition

    Similar to structural synthesis,where wiring is applied on the topmodules in a design

    Mainly of routing modulestogether and placing a pad ringaround the completed chip core

    A B C

    D

    E F

    A B C

    D

    E F

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    33/42

    Design Verification Tools

    Simulation:

    It is used to predict and verify the performance of the cktIt is used to model real time systemsLogic simulator can be easily expressed or characterized in terms offunctional blocksTiming simulator allow down to a transistor level for the most digital cktSince there are no. of transistor on the ckt so complexity is increased though

    the ckt simulator will simulate in short period of time

    It can be done in four levels-Circuit LevelTimingLogic level

    Switch Level

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    34/42

    Design Economics

    IC design must able to predict the cost andtime to design a particular IC

    :Guide for estimating IC selling priceSTOTAL = CTOTAL / ( )1 m

    where CTOTAL is manufacturing cost of a single ICand m is desire profit margin

    :Cost to produce IC generally divide into- ( )Non Recurring costs NREs

    Recurring cost

    Fixed cost

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    35/42

    Design Economics

    +NRE includes engineering design cost prototype manufacturing

    cost :Recurring CostsCprocess + Cpackage + CtestCprocess = ( + ) / ( W P NYwYpaYft)

    Where W is wafer costP is processing costN is gross die per wafer

    Ywis die yield per waferYpais packaging yieldYftis final test yield

    :Fixed CostsSupport cost

    Cost of Sales

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    36/42

    36

    ALU is a building block of several circuits.Design consists of different kinds of logic Look Ahead Carry Generatorlogic, Full adder, Subtractor, Transfer Data, DFF, Super Buffer, MUX,Transmission gate, Decoders, Inv, Nand, Nor, Ex-Or, etc.

    Block Diagram

    Decoder SupeBuffer

    arryGenerator Adder

    XOR AND OR INV -UX 2

    Subtract&rTransfer

    Arithmetic Unit

    Logical Unit

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    37/42

    37

    Schematic of alu

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    38/42

    38

    Arithmetic Unit

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    39/42

    39

    Logical Unit

    Layout

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    40/42

    40

    Layout

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    41/42

    References :-

    wikipedia.org8085 - Ramesh GaonkarCMOS VLSI Design - Neil H.E. Westewikibooks.orgMicroprocessor by A.K. mainiLecture notes by Manish Kumar IISc Banglore.

  • 8/8/2019 MICROPROCESSOR DESIGNING by UPKAR

    42/42

    !

    Thank youfor your

    endurance