MEMSTrends_October_Issue4

18
Analog Devices opts for diversity of solutions The pioneer of monolithic integration questions the industry’s faith that one-chip solutions will necessarily be lower cost. “There’s a tendency to believe that monolithic could be cheaper, and everyone is talking about going back to mono,” says Rob O’Reilly, Analog Devices, Inc. staff engineer for MEMS sensors and technology. “For us, it’s the way we started. We’ve eked every drop we can out of the process and can keep re- selling product. But I’m not convinced full integration is the way to go for everyone. We ate, breathed and slept all the related issues in the past. I think folks have underestimated the problems. I still haven’t seen integrated processes yielding for low margin business. Where average selling prices are going, there’s no margin to recoup all that R&D.” ... ANALYSIS 2 COMPANY vISION 5 ANALYST CORNER 12 REvERSE ENgINEERINg 14 EvENTS 16 ISSUE n°4 OCTOBER 2010 E d I T O R I A L ANALYSIS 14 CMOS MEMS: Next generation integration looks for simpler build or bond on top, or lower cost TSVs REvERSE ENgINEERINg EvENTS Printed on recycled paper Like most everything else in MEMS, it sometimes seems every device needs a different solution for integration with CMOS. But the view from some of the folks on the forefront is that simpler build or bond on top, and lower cost TSVs, are the keys to improving next generation cost and performance. 2 In this issue of MEMSTrends we are giving some attention to MEMS Technologies evolution. One big question that arises in the MEMS world: How will the manufacturing technologies evolve? Particularly to reduce cost and provide more integrated devices for consumer applications. ... CMOS MEMS, hybrid integration, wafer-level bonding… what will be the future for MEMS production? To be continued on page 2 Free registration on www.i-micronews.com MEMS Trends M a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s C O N T E N T S PlATInuM PARTnERS: Three-axis electronic compasses, along with accelerometers and gyroscopes, are now being integrated into smart phone and other portable consumer electronics devices. Three ways of finding magnetic north - a look inside electronic compass chips The compass provides a definite magnetic reference direction vector for the accelerometer and gyroscope sensors. The combination of these three sensors provides nine degrees of freedom (9DoF) sensing for applications such as dead reckoning for GPS positioning during times when the satellite signal is lost, sophisticated gesture recognition and authentication, gaming, image stabilization and pedometer functions. The benefits of 9DoF sensing have been recently discussed in an InvenSense Whitepaper. ... MEMS Technology Summit: Vision for the future is healthcare and connectivity 16 P ioneers of the MEMS industry told the usual war stories of how it took much longer than expected to make MEMS devices in volume, how the competing incumbent technologies improved faster than the MEMS products could be developed, and how they got seduced by cool MEMS technology to introduce products the market didn’t want, on the way to creating some of the sector’s most successful businesses. But the industry luminaries gathered at the recent MEMS Technology Summit in Palo Alto, CA, organized by the founders of novaSensor for that company’s 25th anniversary, also see a maturing industry that can now get products to market faster, and that has finally become a real systems business, poised for significant growth in networks and biomedical applications. ... R1 R2 R3 R4 R4 R3 R2 R1 M4 coil M3 coil LSM303DLH XY AMR Sensor Die Photograph

Transcript of MEMSTrends_October_Issue4

Page 1: MEMSTrends_October_Issue4

Analog Devices opts for diversityof solutions

The pioneer of monolithic integration questions the industry’s faith that one-chip solutions will necessarily be lower cost. “There’s a tendency to believe that monolithic could be cheaper, and everyone is talking about going back to mono,” says Rob O’Reilly, Analog Devices, Inc. staff engineer for MEMS sensors and

technology. “For us, it’s the way we started. We’ve eked every drop we can out of the process and can keep re-selling product. But I’m not convinced full integration is the way to go for everyone. We ate, breathed and slept all the related issues in the past. I think folks have underestimated the problems. I still haven’t seen integrated processes yielding for low margin business. Where average selling prices are going, there’s no margin to recoup all that R&D.” ...

A N A L Y S I S 2

C O M P A N Y v I S I O N 5

A N A L Y S T C O R N E R 1 2

R E v E R S E

E N g I N E E R I N g 1 4

E v E N T S 1 6

I S S U E n ° 4 O C T O B E R 2 0 1 0

E d I T O R I A L A N A L Y S I S

14

CMOS MEMS: Next generation integration looks for simpler build or bond on top, or lower cost TSVs

R E v E R S E E N g I N E E R I N g

E v E N T S

Prin

ted o

n r

ecyc

led p

aper

Like most everything else in MEMS, it sometimes seems every device needs a different solution for integration with CMOS. But the view from some of the folks on the forefront is that simpler build or bond on top, and lower cost TSVs, are the keys to improving next generation cost and performance.

2

In this issue of MEMSTrends we are giving some attention to MEMS Technologies evolution. One big question that arises in the MEMS world: How will the manufacturing technologies evolve? Particularly to reduce cost and provide more integrated devices for consumer applications. ...

CMOS MEMS, hybrid integration, wafer-level bonding…what will

be the future for MEMS production?

To be continued on page 2

Free registration onwww.i-micronews.com

M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

C O N T E N T S

PlATInuM PARTnERS:

Three-axis electronic compasses, along with accelerometers and gyroscopes, are now being integrated into smart phone and other portable consumer electronics devices.

Three ways of finding magnetic north - a look inside electronic compass chips

The compass provides a definite magnetic reference direction vector for the accelerometer and gyroscope sensors. The combination of these three sensors provides nine degrees of freedom (9DoF) sensing for applications such as dead reckoning for GPS positioning during times when the satellite signal

is lost, sophisticated gesture recognition and authentication, gaming, image stabilization and pedometer functions. The benefits of 9DoF sensing have been recently discussed in an InvenSense Whitepaper. ...

MEMS Technology Summit: Vision for the future is healthcare and connectivity

16Pioneers of the MEMS industry told the usual

war stories of how it took much longer than expected to make MEMS devices in volume,

how the competing incumbent technologies improved faster than the MEMS products could be developed, and how they got seduced by cool

MEMS technology to introduce products the market didn’t want, on the way to creating some of the sector’s most successful businesses.

But the industry luminaries gathered at the recent MEMS Technology Summit in Palo Alto, CA, organized by the founders of novaSensor for that company’s 25th anniversary, also see a maturing industry that can now get products to market faster, and that has finally become a real systems business, poised for significant growth in networks and biomedical applications. ...

R1 R2

R3 R4

R4

R3

R2

R1

M4 coilM3 coil

Chipworks

LSM303DLH XY AMR Sensor Die Photograph

Page 2: MEMSTrends_October_Issue4

2

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

A N A L Y S I SE d I T O R I A L

CMOS MEMS: Next generation integration looks for simpler build or bond on top,or lower cost TSVs

ADI intends to keep using its well established process for making its MEMS sensors, a relatively complex interweaving within the CMOS flow, primarily because it offers a predictable cycle time, as well as small size and good performance, especially for automotive applications. But the company is now making even some of its automotive inertial sensors as hybrid devices, with two chips in a package, for more flexibility and faster time to market. The separate ASIC can be more easily replaced to add new features or updates, without having to

redesign the whole unit. And the more different chips in a module, the more useful this flexibility. O’Reilly argues that hybrid solutions of multiple chips in one package are especially good for mixed signal applications that combine multiple different chip technologies and devices from different fab locations, as the way to add more features and functions for more specialized applications.

Besides trying to choose the best monolithic or hybrid integration approach for each product, ADI is also focusing on re-thinking how best to partition the

functional blocks among the chips in the multichip modules, re-distributing the functions for the system across multiple die in non-traditional ways, by, say, putting all the high voltage circuitry on a separate chip, to optimize the system design for cost and performance.

One function it’s including in these systems is self test, so users don’t have to do their own motion testing, aimed particularly at industrial markets where users just expect long term reliability without special treatment for MEMS. ADI is aggressively

modeling the behavior of its accelerometers and gyroscopes under all kinds of conditions and temperatures, then testing them on its homegrown equipment to verify each axis and quantity and the interactions. Then the on-board test system keeps checking performance in use, and lets the user know if there’s a problem.

How are all the chips in these multi chip modules going to be interconnected? Alternatives that shorten the connections to reduce noise and improve performance and reduce size are needed, but most solutions

We will review several different opinions. Although they have pioneered the CMOS MEMS integration, Analog Devices Inc., today opts for diversity of solutions. For them, the question is not only to choose between monolithic or hybrid integration but to rethink the partitioning of different functional blocks among the chips in a multichip module. Here interconnects are really important and TSV cost might be an issue.

On the other hand, Dalsa, currently producing CMOS MEMS, strongly believes wafer-level integration is a cost efficient solution. Dalsa uses TSVs technology but also tries to use the efficiencies of the CMOS into the MEMS world. They propose standard process modules that speed up the development of MEMS devices. SVTC also believes MEMS on CMOS approach ease the management of the supply chain.

Analog Devices has a very interesting perspective on this discussion. Testing is an important factor that is often over looked as part of the MEMS development process. For example, a high- g shake test is required for qualification in the automotive market, but the MEMS industry has not developed the equipment to produce such a test. This obviously puts a lot of strain on the growing plug and play products used for stability control, cruise control and pedestrian protection. All of which have a lot of MEMS, with a variety of specs, which in turn creates the need for MEMS testing at high volume. Is this possible?

Dr Eric Mounier,Project Manager,Yole Développement

• MEMS Executive Congress, Scottsdale, AZ, USA: november 3 to 5, 2010

• Electronica, Munich, Germany : november 9 to 12, 2010

• SEMICON Japan, Tokyo, Japan: December 1 to 3, 2010

E v E N T S

GOlD PARTnERS:

“We [Analog Devices] ate, breathed and slept all the related[CMOS MEMS] issues in the past. I think folks have underestimated the problems,” says Rob O’Reilly.

From page 1

The 4 evolutionary steps for MEMS manufacturing(Yole Développement – October 2010)

Higher design freedom. More sophisticated devices.

re-use of semiconductor manufacturing lines cost can be lower compared to hybrid increased performances BUT process compatibility is an issue XeF2 use

Integration with high-voltage CMOS (reduced parasitances) Structure increased vertical deflection (micro mirrors); higher design freedom

New design freedom imves elecprotrical perf. improves yield CMOS standard

Page 3: MEMSTrends_October_Issue4

3

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

have yet to be demonstrated in serious volumes at reasonable cost. “That’s a whole blank page,” says O’Reilly. “Interconnect work is just starting to catch up. It’s all up for grabs.” He argues that costs of most of the alternative interconnection technologies, like TSVs, are too high, and quality and reliability still too unproven in high volumes, for wide adoption anytime soon, though ultimately costs will come down. “I’m still looking to see a viable product released that meets quality and reliability standards,” he says.

Dalsa sees more complex chips limiting usefulness of monolithic integrationIncreasingly complex chips are also impacting yields and costs of monolithic integration, making wafer-level bonding look a more attractive alternative. “More and more the trend for the next generation is wafer-

level bonding to combine the MEMS with the control circuits,” argues Dalsa Corp.’s Donald Robert, VP of sales and marketing. While the company currently manufactures a significant portion of its portfolio with monolithic integration of the MEMS and CMOS, for

the next generation more customers are moving to more advanced CMOS. That means 25-30 CMOS mask layers, and adding another 2-25 MEMS mask layers on top of that quickly becomes unwieldy for cycle time and yields with anything more than a very limited number of MEMS mask layers. “Thirty mask

layers are too much,” says Robert. “We see more and more that wafer-level bonding is more cost efficient.”

Dalsa aims to reduce the cost of closer integration of the MEMS and CMOS wafers by wafer stacking with lower cost copper TSVs. It’s working with Alchimer and its wet processes for via filling and isolation that use fewer process steps than vacuum deposition to reduce capital costs and increase throughput. Alchimer has reported work with Korean tool maker KPM on a tool for use with its materials. “We believe we will have a cost advantage over other TSV approaches,” says Robert. Dalsa is now building a customer product with the process, and plans commercial launch later next year, offering the TSV interface as a standard process module.

More standard process modules

like most producers, Dalsa is trying to incorporate more of the efficiencies of the CMOS world, by offering re-usable standard processes whenever possible. Currently it offers standard process modules, like low stress film, that enable it to run different products, like, say, mics and pressure sensors, on the same tool at the same time. Each customer gets a unique platform of his particular sequence of process modules, for which he gets a kit that enables much faster development of the next generation product.

But more re-usable modules would ease development. “We often do the same function for different customers in different ways, but the end result is very similar for cost and performance,” notes Robert. “If we could standardize that, it would be much more efficient.”

So the company is also developing standard building blocks of microvalves and pumps for microfluidic devices. And it’s been looking at standard processes for accelerometers, gyros and pressure sensors, to allow all to be processed in the same flow, or integrated on the same die. While it is of course not possible to make all MEMS devices with a standard process, Robert argues, it is probably possible to develop a technology platform that would work for a family of related products, and half a dozen of these family platforms could suffice for most types of MEMS devices.

More standard manufacturing means that more of the value of the device would move from the MEMS component up the chain to the system supplier, a change not in the interests of many MEMS component makers, and thus likely to happen only slowly. But IC makers entering the field are already driving this change, asking the foundry for, say, a quick, cheap MEMS device to replace quartz for the timing function to add value to their system, for which a generic product made on a standard process platform is the ideal option. “They’d like to get a process design kit from the foundry for the

“More and more the trend for the next generation is wafer-level bonding to combine the MEMS with the control circuits,” argues Dalsa Corp.’s Donald Robert.

Example of Monolithic Integration

Examples of Package Level Integration

Page 4: MEMSTrends_October_Issue4

4

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

MEMS function to add to their IC,” says Robert. “It’s doable, but we need to look at the economics. It’s a lot of effort for such a small market.”

SVTC sees more MEMS builtor bonded on CMOSWith its roots in the CMOS world and its customer base that includes a wide range of new silicon devices, development foundry SVTC reports increasing interest among its customers for putting MEMS on top of CMOS wafers. “What I’m seeing is more MEMS on top of CMOS, whether built directly or bonded on top of ASIC wafers from the foundry,” says Wilbur Catabay, SVTC VP of technology and engineering. “High volume needs integration because of cost. If it’s all made in one fab, the supply chain is easier to manage.”

Catabay argues that SVTC has been doing this kind of integration for some time, building new technologies like magnetic memory, phase change memory, or 3D anti-fuse memory devices on top of foundry CMOS wafers. It has been regularly building MEMS on top of CMOS wafers from TSMC, uMC and Global Foundries, particularly for resonator makers, including SiTime, who need the performance of the shorter circuits, for micromirror arrays that need pixel by pixel addressing, and for lab-on-a-chip devices. Complex sensors like multiaxis inertial sensors and magnetometers units, however, remain more challenging to integrate. If there is a major die size mismatch, customers are choosing die-to-die or die-to-wafer stacking on the CMOS. This also of course allows the use of known good die to improve yields, so makes sense for complex 6-axis devices, especially for the development and qualification stages. Though SVTC is using TSVs to connect CMOS to CMOS or memory to CMOS, it has yet to see much demand for it for MEMS.

SVTC has developed technologies for aligning its first lithography steps to the foundry wafers, and for adding barrier/seed layers to integrate tungsten interconnect, says Catabay. It coordinates alignment marking with the foundry, and matches SVTC scanners with those at the foundry to adjust offsets.

Wafers come from the foundries with a top layer of Tan sealing off the copper, then SVTC adds Tin to get good adhesion and electrical performance with the subsequent tungsten or aluminum.

Equipment trends: New demandsfor automation, test and etchAs the MEMS sector moves towards higher volumes it’s making some new demands on its equipment suppliers, for more automation and for new testing equipment. More new materials getting into volume production may start to mean more demand for more selective etch technology as well.

Rakesh Kumar, director of MEMS for Global Foundries, suggests higher volume markets will mean equipment makers will need to develop MEMS tools with more automation and other productivity improvements more suitable for high volume production, as well as tweak their legacy their 200mm IC tools to make them more suitable for MEMS. Though MEMS equipment is not a huge market overall, it is a big part of the market for 200mm tools, he argues, and says he sees increasing commitment from tool makers to invest in MEMS development. Kumar aims to work with equipment makers to solve some of the challenges for MEMS automation, like the variability in wafer thickness, wafer deformation, and wafer handling.

Analog Devices’ Rob O’Reilly challenges MEMS equipment makers to offer the equipment for high-g shake tests required for qualification for automotive markets. “There are no high-g production shake test systems out there,” he says. “They’re all going into flipping.” But with the automotive trends to plug and play, and the burgeoning of units for stability control, cruise control, and pedestrian protection, there are lots of MEMS and lots of specs involved. Volumes are significant and reliability is needed. “Somehow we need to test all these things,” he notes. “How do we do that in large volume and still make money?”

The plethora of new materials beyond silicon finally moving into volume production are starting to boost demand for the XeF2 etch tools, claims David Springer, president of Xactix. The highly selective etch compound does not release its fluorine until it touches silicon, so doesn’t attack Al, Sin, or metal-dielectric stacks, making it potentially useful for applications that use more non-silicon materials, like RF MEMS, optical and reflective devices, microbolometers and microphones. Springer also reports seeing work in piezoelectric and ferroelectric devices for resonators, pumps and little actuators. The cost of xenon may be coming down, to ease the adoption of XeF2 etching, he argues. Air Products has announced it will supply the compound, as well

as offering its xenon recovery service, and a new supplier in Japan has reportedly announced plans to offer material as well, for an increased supply that could bring competition to help bring down costs and give credibility to the technology.

The technology is still largely used in R&D labs, and some users suggest it still needs to mature some more, but there are now more than 100 tools in the field, and Yole has identified several customers now using or planning to use it in production of displays and RF devices.

Paula Doe for Yole Développement

Donald Robert VP, of Sales & Marketing at DALSA’s Semiconductor DivisionIn this role, he is responsible for the strategic and tactical activities related to the development of DAlSA’s semiconductor products &

foundry services. He holds a degree in electronics from Sherbrooke College and a degree in Business Management from the university of Sherbrooke and has over 29 years of industry experience. His areas of expertise include business development and semiconductor manufacturing.

Rob O’Reilly,Member Technical StaffMEM Sensors,Analog Devices, Inc.Rob O’Reilly began his career at Analog Devices in 1993 and is the former Director of the Product Test and Measurement groups for the

Micromachined Products Division. Rob currently leads the Advanced Test needs for inertial sensors and as well as developing unique business and market opportunities. Rob joined Analog Devices in the very early days of MEMS and has spent the last 17 years creating test and characterization capabilities for MEMS accelerometers, gyros and optical devices. A former flight engineer in the uS navy, Rob attended northeastern university with focus in the area of Shock and Vibration analysis.

Wilbur Catabay,Vice President Technology,SVTC TechnologiesWilbur Catabay is a veteran of the semiconductor industry with more than 23 years of experience. Recently, Mr. Catabay was President

of Silicon Integrated Solutions, Inc. He also was Senior Director for lSI logic’s Foundry Engineering & Integration organization and Director of the Advanced Process Module Development in the R&D organization. Mr. Catabay has submitted more than 100 invention disclosures and has been awarded more than 60 patents with more than 26 patents pending during his tenure. He has a BS degree in Industrial Technology from San Jose State university with a minor in Business Management.

“What I’m seeing is more MEMS on top of CMOS, whether built directly or bonded on top of ASIC wafers from the foundry,”says Wilbur Catabay, SVTC.

Wafer Level Packaged MEMS devicewith Through Silicon vias. (Courtesy of Dalsa)

Page 5: MEMSTrends_October_Issue4

5

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

C O M P A N Y v I S I O N

EVG launches new high performing L3 Bonder

Yole Développement: Can you explain to our readers why EV Group decide to develop such equipment?Eric Pabo: In keeping with our Triple I (invent, innovate, and implement) philosophy EVG decided to develop an automated wafer bonding system capable of increased throughput while performing high temperature and high vacuum bonding. Also, this wafer bonding system needed to be capable of being fully integrated into the EVG Gemini fully integrated cassette to cassette wafer bonding systems.

YD: How long does it take to develop it? And did you collaborate with an industrial partner?EP: We did the development internally based on the feedback of multiple high volume customers looking for increased throughput high yield wafer bonding.

YD: What are the main characteristics of the L3 Bonder?EP: The primary advance of the EVG l3 bonder is the separation of the process into three distinct steps. The first chamber heats the wafers and allows pump down of the chamber or pump and purge to fill the chamber with an inert gas. When the first step is completed the wafer pair is passed through a gate valve into the primary chamber. This primary chamber can be kept pumped down to the 1e-6 mbar range. In the primary chamber the bonding process is completed using force, temperature and time. After this process is completed the bonded wafer pair is moved through another gate valve to the third location where it is cooled prior to unloading.

This process separation can result in a three to five fold increase in throughput compared to a single bond chamber. Of course this increase is dependent on the process being performed.

YD: According to you, what is the added-value this new bonder?EP: The added value of the EVG l3 bonder is increased throughput for wafer to wafer bonding processes that require high temperature or high vacuum.

YD: Which markets do you expect to address?EP: EVG expects the EVG l3 bonder to address high volume production of products requiring vacuum sealing using metal bonding technologies. We see that many MEMS manufacturers are switching to metal bonding (both thermo-compression and eutectic) from glass frit and anodic bonding. The EVG l3 bonding system is designed to address volume production of MEMS devices which have high hermeticity requirements such as micro-bolometers, accelerometers, gyroscopes, and other devices. Integration of the l3 bonding system into the field proven fully integrated EVG Gemini system will provide a high throughput, high yield, cassette to cassette fully automated wafer bonding system.

www.evgroup.com

By separating the bonding process into three distinct steps, EVG innovates their bonding equipment with increasing throughput for high temperature or high vacuum bonding.

Eric Pabo is the business development manager for MEMS for EVGroup, prior to accepting this position he was the bonding applications engineer for north America for EV Group. Before joining EVG he spent 5 years

working on wafer level packaging and assembly processes for Agilent Technologies. He has over 20 years experience in electronics manufacturing, is a professional engineer registered in the State of Colorado, is finishing his Six Sigma Black Belt Certification and earned a Bachelor’s Degree in Mechanical Engineer from Colorado State university.

EVG 520 L3 (Courtesy of EVGroup)

EVG 520 L3 (Courtesy of EVGroup)

Page 6: MEMSTrends_October_Issue4

M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

Are MEMS veterans right that the CMOS folks don’t realize how hard it will be to ramp MEMS to good yields in high volumes? Or

is the value moving up to the system, with some MEMS just a commodity component in the IC makers’ system?

GLOBALFOUNDRIES targets more CMOS-like approach to production

GlOBAlFOunDRIES argues MEMS is poised for big volumes, and for more CMOS-like approaches to high volume manufacturing, including more standard process modules. Rakesh Kumar, director of MEMS for Global Foundries, is emphatic that the company sees high volume business in MEMS. “We’ve done a lot of work looking at the market and feel that this is a turning point for the MEMS business,” he says, noting all the products just entering the consumer market and starting growth, with multiple sensors in all those millions of cell phones and most other consumer electronics products. “As volumes increase, costs will decrease, and spur further growth in volume. That’s a huge number of wafers that will become a real business for CMOS foundries.”

The company targets applications that can run 1000 wafers a month or more, starting with accelerometers, gyroscopes and RF devices, and focusing on bulk MEMS with engineered SOI wafers to simplify manufacturing. It’s finishing installing its infrastructure this year, aiming at starting risk production with two customers in 3Q 2011. And it recently announced a partnership with SVTC for a window in the uS for development and to align manufacturing for porting to the volume fab.

Though it has been reported that GlOBAlFOunDRIES plans CMOS MEMS, Kumar clarifies that what the company means by that is CMOS-compatible manufacturing, by making the MEMS process as close to CMOS as possible. That means sharing tools with CMOS where possible to reduce capital spending, making most of the front end on CMOS processes first, doing the contaminating MEMS processes separately afterwards. It also means making sure the MEMS is compatible with the CMOS and the WlP, looking at the CMOS design to understand the issues like thermal mismatch that impact MEMS system performance.

But it doesn’t mean monolithic integration. Kumar notes that hybrid integration makes most sense for low cost consumer inertial MEMS, though high frequency RF devices may need short interconnects to avoid parasitics, probably with TSVs, though the process may be too expensive. Wafer-to-wafer bonding looks promising if die sizes are similar. It’s developing a wafer-level packaging module and may start to go to TSV technology in perhaps 2013, if customers require it.

Coming from the CMOS world, however, GlOBAlFOunDRIES counts on standard process modules to make production more efficient and reduce time to market. Kumar argues that most MEMS production processes are in fact already becoming fairly standard, and most MEMS makers already develop a few process modules and then try to build all new products on that same platform. “MEMS is not like CMOS where you can go from design to product in 3-6 months. It won’t happen that way,” he says. “But new entrants will find it too costly to enter the business unless they develop on standard processes, and CMOS foundries can do that.”

GlOBAlFOunDRIES is working closely with Singapore’s Institute of Microelectronics for development, and getting support from the government in Singapore, who aims to develop a local MEMS industry, with research grants and support of IME’s development fab. A consortium has also formed to try to bring in standards in design and packaging to help develop the local industry infrastructure. Singapore already claims 12% share of worldwide MEMS manufacturing, though almost all of that is from the STMicroelectronics plant there.

Silicon Laboratories optsfor monolithic integrationwith SiGe MEMS

Coming down firmly for monolithic integration is Silicon laboratories, who aims to make a higher performance MEMS timing product, to add to the product lineup in its fast growing ~$60 million timing business. The company has never made a MEMS device, but prides itself on solving similar integration problems in building its complicated mixed signal products in mainstream CMOS processes.

“MEMS timing hasn’t lived up to its promise so far,” argues Mike Petrowski, GM of the Silabs timing products business. “There’s room for improvement in performance, and customers find comfort in an established supplier with high volume capacity….We looked at the technology and considered the other MEMS structures being used, and decided the easiest possibility was just to add a few more layers on to the CMOS wafer, as long as the recipe worked to grow the structures.” So the company acquired Silicon Clocks last spring for its relatively straightforward low temperature SiGe MEMS on CMOS process to eliminate the bonding wires with their parasitic capacitance. Monolithic integration also made sense because the timing products could potentially be very high volume, and the programmability already offered in the CMOS meant there wasn’t much need for flexibility in switching out the parts.

Petrowski argues that SiGe has good mechanical properties, but more importantly can be deposited without high heat on top of the CMOS wafer, with existing equipment in a CMOS foundry with some retrofit, but without major additional capital investment. CTO Emmanuel Quevy has reported in presentations that the lPCVD deposition process stays under 425°C and produces a polycrystalline material similar to polysilicon, with aging of less than 1ppm per year. He said the process used gold SiGe bonding with getters to prevent out gassing.

Maturing process technology and tighter integration of MEMS and CMOS systems are attracting a range of CMOS players to the MEMS business, with strategies as varied as Global Foundries’ CMOS-compatible standard processes to Silicon Laboratories’ monolithic integration using SiGe.

6

C O M P A N Y v I S I O N

CMOS players eye MEMS system business

(Courtesy of GlobalFoundries)

Page 7: MEMSTrends_October_Issue4

7

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

Status of the MEMS Industry 2010

contact usFor more information, feel free to contact David Jourdan:tel: +33 472 83 01 90, Email: [email protected] Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Growth is back, but only a limited number of players benefit

MaRKEt tREnDs“the growth is back, but the industry infrastructure has changed: a limited number of companies are taking full benefit of the growth of the market. Industry restructuration is now about to happen…,” says Jean-christophe Eloy, Yole Développement.

KEY FEatuREsthe objectives of this report are to provide:• The expected evolution of the MEMS markets applications by application

• A long term vision of MEMS markets 2008-2020• Technology and business trends at equipment and materials level• The evolution of the MEMS foundry business, the MEMS production equipment business and the MEMS packaging

• An analysis of the strategies of the TOP 30 MEMS manufacturers• An analysis of the business trends in the major 15 applications areas of MEMs devices

$ 0

$2 000

$4 000

$6 000

$8 000

$10 000

$12 000

$14 000

$16 000

$18 000

2009 2010 2011 2012 2013 2014 2015

Others (microstructures, micro tips, flow meter …)

Micro speakers

Oscillators

RF MEMS

Microdispensers (microfluidics)

Microfluidics for IVD

Microfluidics for Research

Other optical MEMS

Projection systems

Micro displays

Microbolometers

Digital Compass

Gyroscopes

Accelerometers

Microphones

Pressure Sensors

InkJet Heads

TOP 30 MEMS Company ranking 2009-2008

MEMS market forecast 2009-2015 in $M

Silabs sees potential for making other products with SiGe MEMS on CMOS going forward, and Petrowski notes that the extendablity of the technology was a major factor in the acquisition. “We have microprocessors with good analog converters for access to the analog world—that start to look a lot like things that use sensors,” he says. The company also recently acquired CMOS

www.silabs.comwww.globalfoundries.com

Michael Petrowski, General Manager, Timing Solutions - Silicon Laboratories Inc.Michael Petrowski serves as general manager for Silicon laboratories’ timing solutions, responsible for the

company’s clock generators, oscillators, jitter-attenuating clocks and other timing products. Prior to joining Silicon laboratories, Mr. Petrowski served as a product manager for Crystal Semiconductor where he was responsible for the strategic direction of the T1 line Interface units and Ethernet PHY products. Mr. Petrowski also spent more than 11 years at Harris Semiconductor where he served in senior

engineering, applications and marketing roles for DSP, wireless communications and multimedia audio products. Mr. Petrowski has co-authored numerous technical articles and presented at technical conferences on digital signal processing, frequency synthesis and receiver architectures. Mr. Petrowski has a bachelor’s and master’s degree in electrical engineering from north Carolina State university.

Rakesh Kumar, Director of MEMS program, GlobalFoundriesHe received his B.S. (Hons.) and Ph.D. degrees in electrical engineering from

Punjab Engineering College, India and nanyang Technological university, Singapore, respectively. He is currently Director of MEMS program (200mm Business unit) at GlOBAlFOunDRIES, Singapore. Prior to this, he was deputy director of Semiconductor Process Technology lab at Institute of Microelectronics, Singapore where he was responsible for MEMS process development and technology transfer. His areas of interest include advanced copper interconnects, 3D wafer level packaging and MEMS technologies. He has authored and co-authored more than 90 research publications in journals and conferences.

Silicon Clocks CMOS MEMS monolithic integration

Page 8: MEMSTrends_October_Issue4

All over the World,

stay connected

Our readers, your business...

In-depth Market & Technology Analysis

Quarterly Magazines

Combined reach to over 15,000 subscribers

Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Contact: Editorial, Advertising & Subscriptions [email protected] / www.i-micronews.com

Become a sponsor today!

ADVERT_MICRONEWS.indd 1 13/09/2010 11:20

Page 9: MEMSTrends_October_Issue4

9

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

C O M P A N Y v I S I O N

T he company is a leading supplier of acceleration, inclination and angular motion sensor solutions for transportation, medical, instrument and

consumer electronics applications. VTI develops and produces silicon-based capacitive sensors using its proprietary 3D MEMS (Micro Electro-Mechanical System) technology.

In 2009 VTI was the first MEMS company to adopt Wafer level Packaging in the world’s smallest and least power consuming three-axis acceleration sensor, the CMA3000, and the company has already announced that it will launch new MEMS solutions at Electronica 2010.

Mr Anssi Korhonen, VTI Chief Technology Officer, was interviewed for the MEMS Trend Magazine.

Yole Développement: VTI is one of the very few MEMS companies using a Through-Glass Vias technology for its 3-axis accelerometer. Why using glass wafers instead of Si?Anssi Korhonen : “We are actually using a silicon wafer and molten glass material for isolation of TSVs. Benefits of the VTI cap wafer technology include good insulation and very low parasitic (stray) capacitance. Glass, on the other hand, provides planar surface and reliable bonding interface to the structural wafer. Also, glass is very inexpensive starting material”, Mr. Korhonen explains. YD: There are different ways to do TGV. What makes the VTI technology specific? AK: “The process is VTI proprietary technology. We avoid using plating processes in forming the vias. It is compatible for wafer level processing although needs some specific equipment. Currently we are satisfied with the via resistance in the tens of ohms range.” YD: Is VTI Technologies planning to use its TGV technology to other MEMS devices? Moving to different wafer size?AK: “The technology in its initial form (planar isolation and one via) has been in use since 1984. In the late 90’s due to requirements by multi-axis accelerometers and gyros we added the capability for a multitude of vias. More recently this technology has been developing for finer pad pitch and size by utilizing dry etching of silicon instead of mechanical machining. The process is scalable for larger wafer sizes. It is used for all VTI MEMS designs.”

YD: VTI has recently achieved the smallest accelerometer on the market (2x2 mm²). Do you plan to go even smaller?AK: “Smallest size components can be achieved with the Wafer level Packaging (WlP) technology, which is close to WlCSP technology that has received wide acceptance in the market. VTI WlP goes one step further by flip chip attaching ASIC on the MEMS sensing element.”

“Further size reduction is possible and restricted to specific MEMS or ASIC design requirements, not so much on packaging technology”, Mr. Antti Korhonen concludes.

www.vti.fi

The MEMS pioneer VTI relies on its proprietary3D MEMS technologyVTI Technologies can be considered as a pioneer in MEMS for the past 20 years.

Mr. Anssi Korhonen, M.Sc. in electrical engineering, has worked as Chief Technology Officer for VTI Technologies since 2008. He has worked for electronics manufacturing services industry since 15 years.

He has held various management positions in manufacturing, account management, business development and general management. Early in his career Mr. Korhonen worked as a development engineer in packaging and assembly as well as a research scientist of MEMS technologies.

APM currently ships tens of thousands of wafers to customers in a variety of MEMS devices. APM, as an independent pure-play foundry,

accomplishes high productivity with two success factors: responding to customers’ manufacturing needs and investing resources on the development of versatile process modules and platforms.

SOI process platform, for example, has long been extensively recognized advantageous to many MEMS devices both on performance and manufacturability. In response to this increasing demand for SOI MEMS, a great deal of APM’s internal resources has been placed into the area in order to bring varieties to SOI platform. APM has accumulated extensive process experiences on making complex structures on the SOI of very thin to thick device layer, multiple device layers, or the one with embedded cavities. Fine combs and flexures, two-step structures, multi-step well-aligned structures have been achieved in APM and qualified by numerous customers. Today, the SOI platform is being expanded into the Silicon-

on-Glass process platform, which makes this platform more considerate for different customer requirements.

Temporary wafer bonding and debonding are the other technologies under development in APM. They are considered to greatly enhance the flexibility of manufacturing sequences, and allow handling thin wafers through processes. APM also see their huge potential in wafer packaging technology. Other techniques that catch our eyes are, for example, dry release and monolayer coating. They play a grate role when talking about device reliability. APM prioritizes these techniques in the roadmap while working closely with customers on their needs.

APM believes it can stand out in the MEMS manufacturing competition through proactive mindset and rigorous production discipline on customers’ needs, and, as a result, assists them in staying competitive in their MEMS product races.

www.apmsinc.com

APM on MEMS manufacturing and productionHigh volume MEMS mass production has been the mission for APM since it was founded in 2001.

CMA3000 (Courtesy of VTI)

Deep Silicon Ecth on cavity SOI waferwith high verticality

Page 10: MEMSTrends_October_Issue4

10

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

C O M P A N Y v I S I O N

Photomask technology has been used since manufacture began of the earliest semi-conductors over 40 years ago. It was then

that Compugraphics made its first photomask in Aldershot, uK. In 1970 the company moved north to Glenrothes, Scotland, to be closer to the multitude of technology companies emerging in the area that became known as "Silicon Glen". Compugraphics has continued to expand and is today one of the most highly regarded and established Photomask names in Europe and uSA. It has an experienced team of photomask professionals to rival any of its global competitors with similar sized operations in Glenrothes, Scotland and los Gatos, California.

Photomasks are high precision plates made out of quartz or soda lime glass with a chrome layer on one side, usually containing microscopic pictures of electronic circuits etched into the chrome. Whilst the technology has advanced dramatically since the start, the principal remains the same with the photolithography process now also an integral part of the production of many MEMs and Optoelectronic devices. Customers send their design data to

Compugraphics, who then write the masks using sophisticated laser and e-beam exposure tools and finish them in a Class 1 clean room environment.

With the growth in MEMs and Optoelectronic devices over the past 10 years, Compugraphics has seen its customer base expand to include these market sectors. This has presented just a few challenges. MEMs and Optical applications often use structures where smooth curves and accurate radius is critical for example. Conventional writing tools used to make photomasks are predominantly designed to write Manhattan or straight-line geometries for the IC sector.

Dave Muir, Customer Interface Manager, explained the key to Compugraphics’ success in this area :

"Our people have been trained in MEMs design to ensure a better understanding of our customers’ needs. We know what is important to ensure that their design will translate into an application that works.Our business model is unique: The MEMs market place is our market place, our best and most experienced people have been steadily working

on meeting the needs of emerging markets like MEMS, not leading edge IC market needs. We have a reputation for quality, reliability and flexibility as well as a wealth of experience that can only benefit our customers."

Compugraphics is owned by OM Group and certified to ISO 9001 and ISO 14001.

www.cgi.co.uk

Compugraphics continues to build on itsexpertise in making MEMS photomasksCompugraphics has been making photomasks for over 40 years and today provides top-class photomask solutions for MEMS companies and research institutes all over the world.

IMU & High Performance Inertial MEMS 2011

contact usFor more information, feel free to contact David Jourdan:tel: +33 472 83 01 90, Email: [email protected] Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Complete review of inertial sensors market 2009-2015

MaRKEt tREnDs… “the market for IMus is estimated to be $1.55B in 2009, dominated by defense and aerospace applications. Yole sees this market growing at a 9% annual growth rate, to reach $2.60B in 2015…” says Laurent Robin, Market analyst, MEMs, Yole Développement.

KEY FEatuREsthe objectives of this report are to provide:• Market data on high performance Inertial Measurement Units and MEMS

accelerometers / gyroscopes: key market metrics & dynamics• Application focus on key existing markets and most promising emerging ones

• Deep understanding of inertial sensor value chain, level of integration & players

• Agriculture• AUVs• Freight transport ship• Healthcare• High speed train• Inclinometers• Oil drilling heads• ROV• Satcom antenna stab• Stabilization of optical systems• Survey instruments• UGVs• Vibration monitoring• …

• Business Jets• Civil aircraft• Civil helicopters• Civil and paramilitary UAVs• General aviation• Satellites• Spacecrafts & skyrockets• …

• Defense ships• Defense transport aircraft• Defense UAVs• Guided munitions• LAV/Artillery Guns• MAV/Tanks• Military & special mission helicopters• Military fighters• Military submarines• Nuclear missiles• Short, medium and long range missiles• Soldier• …

IMU & HighPerformanceInertial MEMS

Industrial,civil naval

and offshore

CommercialAerospace

Defense

Application Space for IMU & High Performance Inertial MEMS

2009-2015 global market for high-performance IMUsBreakdown by field in $M (Sept. 2010 Yole Développement)

Application Space for IMU & High Performance Inertial MEMS

Quartz photomask during the production process

Page 11: MEMSTrends_October_Issue4

11

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

C O M P A N Y v I S I O N

Plan Optiks next step in MEMS cap wafer technology: LED packaging

This new line is capable of making glass and glass-silicon cap wafers mainly for high power lED packaging as well as packaging

wafers for projection technology.

light emitting diodes (lEDs) require hermetic packaging which protects them from environmental impacts such as humidity, heat and dust. Standard packaging methods sometimes struggle with these requirements since they are typically based on polymer materials which do not fulfil long term reliability requirements. Plan Optik’s lED packaging wafers consist of glass and silicon only - both materials are widely used in the MEMS industry for packaging solutions. This makes these lED packaging wafers suitable for automotive, medical and projection applications since they work fine under harsh environmental conditions. The temperature resistance is up to 400 centigrade.

The used borosilicate glass provides an excellent transmission of the lED light whilst the silicon typically acts as a spacer. Anodic bonding of the glass lid and the silicon spacer creates a cavity for a single or multiple lEDs whilst an optional double side anti reflection coating increases the transmittance to more than 97%. Due to anodic bonding spacer and lid glass are coupled in a non-reversible way - even under extremely challenging conditions such as permanent temperature and humidity cycles as often found in the automotive industry.

Plan Optik is already running a mass production of such lED packaging wafers which have been developed together with one of the biggest lED manufacturers world wide and the packed lEDs are already implemented in large executive cars. Demans are dramatically increasing due to the fast implementation of high power lEDs in cars.

Plan Optik produces cap wafers from glass as well as glass silicon compound wafers since many years. They can be bonded to device wafers in various ways (mainly anodic and adhesive bonding). Core

processes used by Plan Optik are grinding, polishing (CMP), (ultra sonic) drilling, sand blasting, wet etching and bonding glass and glass-silicon compound substrates. Materials used are borosilicate and alkaline free glass as well as silicon and silica.

Combining the big variety of processing techniques, Plan Optik also offers cap wafers with optical (transparent) cavities, through holes for conductive connection as well as high accuracy blank glass substrates for various MEMS packaging tasks. These wafers are clean room suitable and are already implemented in many high end sensor applications.

All wafers produced by the latest production technology are characterized by low ttv, thickness tolerance, low roughness and high surface quality. Plan Optik set the benchmark in respect to virtually perfect glass wafer surfaces by introducing it’s proprietary development MDF polishing to the market. MDF (Micro Damaging Free) polished wafers are suitable for wet etching processes and avoid the well known issue sub surface damaging which can lead to defects. Sub surface damaging leads to small cavities and interconnections between the etched structures, weakens the bonding interface and results in a low yield. The use of MDF polished wafers leads to reliable wet etching results and provides a high wafer processing yield.

By implementing this new lED packaging wafer production line Plan Optik has increased it’s capacity for cap wafers for wafer level packaging by 80% - in addition to the already existing 150-300 mm blank and drilled glass wafer production capacity.

Plan Optik is reacting to the rapidly increasing demand for MEMS cap wafers since late 2009 – mainly for sophisticated glass-silicon compound substrates for wafer level packaging of optical MEMS (MOEMS) such as CMOS image sensors, projection technology and lED applications as well as carrier substrates for semiconductor wafer handling and processing.

The recent capacity expansion includes investments in latest grinding, CMP, anodic bonding equipment and automation of existing processes.

Plan Optik, a public company located in Germany produces wafers for MEMS applications such as tire pressure monitoring systems and drug dispensing solutions since almost 20 years and can refer to a large experience in providing such wafers to almost all key players in the MEMS industry.

Plan Optik’s ISO TS 16949, ISO 14001 and ISO 9001 certifications ensure the quality all substrates are produced to.

www.planoptik.com

Carsten Wesselkamp,Sales Manager of Plan Optik AGMr. Wesselkamp serves as the international sales manager of Plan Optik AG, the technology leader in the production of structured cap

wafers for MEMS applications in various industries. Plan Optik’s head quarter is based in Elsoff near Frankfurt, Germany. The company is listed in the Entry Standard at the Frankfurt stock exchange under ISIn DE000A0HGQS8.

Plan Optik’s new 200 mm cap wafer line

200 mm LED packaging (cap) wafer

Plan Optik AG, the leading Cap wafer producer for wafer level packaging applications has launched it’s fully equipped 200 mm cap wafer production line for LED packaging wafers recently.

Page 12: MEMSTrends_October_Issue4

12

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

And that will drive the industry towards new assembly solutions for tighter integration and more standard process modules over

the next decade. Yole Développement sees most common applications shrinking to 1mm2 or 2mm2 die or smaller by 2020, and price points continuing on a sharp downward path, driving demand for 3D TSV, active capping, thin film capping, and CMOS MEMS technologies going forward. Cost and time-to-market pressures will also favor increased reliance on standard process modules....

Demand for smaller die, closer integration drives new assembly solutions

The biggest change coming down the pipeline is chip stacking with through-silicon vias. This 3D TSV technology is now starting production for those few

applications where users are willing to pay the higher cost to get the better performance and smaller size from the shorter connections. Yole projects demand for MEMS with 3D TSV will reach several hundred thousand wafers a year by 2015. Early uses of the TSV technology are as varied as microphones from Sonion and fingerprint readers from IDTECK/ STMicroelectronics. MEMS foundries Dalsa, Silex and Xintec are running the 3D process for various applications that include gyros, microphones and oscillators for customers like InvenSense, VTI, Epcos, and SiTime. Yole has indentified at least 20 companies developing TSV for MEMS, spread across the value chain from MEMS foundries, packaging houses, and IDMS.

But another technology also starting to change the integration game is wafer-level bonding or active capping, bonding the ASIC wafer to directly to the MEMS wafer as a functional cap. InvenSense has led the way with its unique metal-to-metal bonding of the ASIC to the MEMS with AlGe, which efficiently and compactly makes both the connection and the hermetic seal for its consumer gyroscopes. But other sensor makers are expected to develop wafer-level bonding approaches as well.

Also coming into wider use to shrink device size and cost is thin film capping, where the wafer with released MEMS devices is sealed under an encapsulation layer. Though the high temperature encapsulation process developed by Bosch with Stanford university was originally seen by some as a step towards building CMOS on top of MEMS, —the process has turned out to be most useful for wafer-level encapsulation to shrink die size. The thin film cap layer eliminates the need to devote wafer surface area to all the framing frit lines usually used for bonding on the glass or silicon cap

A N A L Y S T C O R N E R

MEMS technology roadmap: Demand for smaller, lower cost devices drives major technology trends for next decade

Dr Eric Mounier,Project Manager,

Yole Développement

MEMS may still be largely a one product, one process business, but customers across diverse applications share a common set of demands for smaller devices with better performance at lower cost.

Yole Développement has identified at least 20 companies developing TSV for MEMS, spread across the value chainfrom MEMS foundries, packaging houses, and IDMS.

New MEMS manufacturing processes drivers(Yole Développement – October 2010)

Page 13: MEMSTrends_October_Issue4

13

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

wafers, making it particularly useful for ultra small devices like oscillators and RF MEMS. SiTime is using the thin film capping for its oscillators, and Bosch is now using the technology in a least one of its accelerometer products. MEMtronics uses a different thin film capping process on its RF MEMS devices, while RFMD, WiSpry, ASE and CEA leti are all also developing capping films.

More CMOS-MEMS and standard process modules coming too

These alternative TSV and capping technologies get some of the gains of shorter electrical connections between the MEMS and ASIC of the CMOS MEMS approach without having to deal with the many complexities of monolithic integration. But real one-chip solutions –with the MEMS layers made before, during or after the CMOS layers—may further improve performance and reduce size and cost, in part by using the existing CMOS infrastructure and avoiding the cost of separate assembly of the MEMS with the ASIC. Though of course wire- bonded, two- chip system-in-a-package solutions offer lower costs

in other cases, especially for small volumes, as well as greater design flexibility in using different chips. Going forward, all MEMS array devices that need

to control each pixel individually will require CMOS MEMS, as do micromirror digital light processors or microbolometerswhich build the MEMS directly above the CMOS. The very small geometries in nano MEMS chemical sensors will also likely require monolithic integration. A lineup of startups has also turned to some flavor of CMOS MEMS in recent years in hopes of disruptive advantage in lower costs and faster volume ramps, from Akustica and MEMSiC to Silicon Clocks and now Baolab.

The growing use of MEMS’ own standard process modules may, however, also start to challenge CMOS-MEMS’ purported advantage in established processes and faster ramp to volume. As the industry has matured, both the IDMs and the MEMS foundries have built up repertoires of well-characterized process blocks that can be used again in other devices. Back end processes modules for things like wafer-level packaging and TSVs were the easiest and first to be applied across products, but the approach is also now being extended to things like silicon membranes. CEA-leti and Silex are most vocal about the advantages of standard modules, but we hear a similar story from most of the other leading MEMS foundries, and from IDMs like STMicroelectronics, who forces almost all its MEMS devices into one of two established process flows.

Dr Eric Mounier, Yole Développement

MEMS A&M, fund raising 2010 (Yole Développement – October 2010)

Company Round type Acquiredcompany

Amount raised USD

Calient Technologies First round - $10M

Mezmeriz Additional Funds phase 1 - $50,000

DelfMEMS VC funds - $1.9M

CardioMEMS Equity Financing - $60M

Microvisk Financing round - $3.95M*

Measurement Specialties Acquisition Pressure Systems

Inc. $25M

Microvision Equity Financing - $12M

Microstaq Series B - $10.2M

Silicon labs Acquisitio Chip Sensors -

Pros ConsCMOS First • IC foundry can be used

• Chip area may be minimized • Thermal budget is an issue

MEMS First • no thermal budget for MEMS

• Microstructure topography is an issue• Electronics and MEMS cannot be

easily stacked• IC foundries are worried to accept

pre-processed wafers(materials constraints)

Interleaved MEMS

• More control over materials, processes

• Optimize/compromise mechanical and electrical components

• Economical, reliabilityand yield high

• Simple post processing stepreleases MEMS

• need your own fab • Cost of increased chip area

• Mechanical properties of CMOS layers compromised

• Supply chain issue: the MEMS technology is linked to the CMOS

technology (that evolve much quicker!).

Pros & Cons for the different ASIC MEMS integration approaches(Yole Développement – October 2010)

MEMS ASIC Integration(Yole Développement – October 2010)

Dr. Eric Mounier has a PhD in microelectronics from the InPG in Grenoble. Since 1998 he is a cofounder of Yole Developpement, a market research company based in France. At Yole, Dr. Eric Mounier is in charge of market analysis for MEMS, equipment & material. He is Chief Editor of Micronews, a bi-monthly magazine dedicated to micro and nano technologies.

*£2.5M converted in USD on 27/10/2010

Page 14: MEMSTrends_October_Issue4

14

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

R E v E R S E E N g I N E E R I N g

A variety of technologies are being used to create electronic compass devices. Hall Sensors constitute the dominant technology,

with AKM being the major manufacturer of devices for consumer applications. MEMSIC and STMicroelectronics offer devices based on the anisotropic magneto-resistance (AMR) effect, while Achi Steel offers devices based on the magneto-impedance (MI) effect. At the present time, Chipworks has seen AKM Hall Sensor based devices in several downstream consumer products. Chipworks has analyzed the AKM AK8974 from the nokia n97, the AK8973 from the iPhone 3G and the AK8975 from the recent iPhone 4. We have done analysis of samples of the Achi Steel AMI302 found in a Ball It “blobo” gaming device. In addition, we have analyzed samples of the MEMSIC MMC3120XM and the STMicroelectronics lSM303DlH electronic compass devices. In this article we will focus on an example of each technology type, namely the AK8975 Hall, the AMI302 MI and the lSM303DlH AMR electronic compass devices.

AKM AK8975 Hall-Effect Sensor

The AKM devices are based on integrated CMOS Hall Sensor technology. Figure 1 shows an X-ray of the AK8975 three-axis electronic compass, found in the iPhone 4. The device is packaged as a 2.0 mm x 2.0 mm x 0.6 mm thick wafer level chip scale BGA package. Creating a three-axis electronic compass using planar CMOS technology is challenging, since the Hall Sensor structures are only sensitive to the vector component of the magnetic field perpendicular to the die surface. Thus, a critical feature of AKM’s three axis electronic compass technology is the use of a “magnetic concentrator”, which serves to bend field lines parallel to the die surface to give a perpendicular component that can be sensed by the integrated Hall Sensors. The location of the 0.33 mm diameter magnetic concentrator, among the array of solder balls, is annotated on the X-ray.

The magnetic concentrator can be seen in cross-section in Figure 2. The cross-section shows the upside down AK8975 die and cross-sectioned through three solder balls. The concentrator is formed using a circular pad of Feni alloy, known as “permalloy”, which has very high magnetic permeability. This pad was deposited and patterned over a die coat layer.

The decapsulated AK8975 die is shown in Figure 3. The location of the magnetic concentrator is annotated in pink. Separate Hall Sensors structures are located beneath the four quadrants of the magnetic concentrator. Operation of the AK8975 depends on deconvolution of the signal from each of these four structures. A field vector in the horizontal direction parallel to the die surface will give maximum differential signal for the two sensors labeled X, while a field vector in the vertical direction will give maximum differential signal for the sensors labeled Y. A field perpendicular to the die surface (Z-direction) will result in an equal signal from all four sensors. The direction of the magnetic vector can thus be extracted from the signal level for the four structures. The electronic compass sensor on the AK8975 die also features a coil, which likely serves as a solenoid to provide a reference magnetic field, perhaps for calibration purposes or for demagnetizing the magnetic concentrator. A detailed optical view of the left X Hall Sensor structure is provided in Figure 4. Each structure is actually comprised of two separate Hall Sensors,

each of which is formed using an n-well in the P-substrate. Current flows diagonally across each sensor. A magnetic field perpendicular to the die surface will result in a measureable voltage between the two contacts perpendicular to the current flow, due to the lorentz force. Achi Steel AMI302Magneto-Impedance Sensor

The three-axis Achi Steel AMI302 was found in the “blobo”, a novel gaming device. It is the first MI electronic compass that Chipworks has seen in a consumer product. The “blobo” also contains an accelerometer and a pressure sensor, both from STMicroelectronics. Figure 5 shows an X-ray of the AMI302 package. The device contains an ASIC plus three MI sensors, one each for X, Y and Z sensing. Decapsulation of the AMI302 revealed a signal processing ASIC with RICOH die marks, indicating that Ricoh fabricated the ASIC for Achi Steel.

Figure 6 shows a cross-section through the Y-axis sensor in the AMI302. The sensor is comprised of an iron-cobalt amorphous ferromagnetic wire surrounded by a copper coil. The operation of a MI sensor is described on the Aichi Steel web site

and by K. Morie, et al. Essentially, a high frequency alternating current is passed through the FeCo wire.

Three ways of finding magnetic north - a look inside electronic compass chips

magneticconcentrator

0.48 mm

0.33 mm

CHIPWORKS

Figure 1 AK8975 Package X-ray

die

magnetic concentrator

solderball

Chipworks

500 µm

Figure 2 AK8975 Package Cross Section

magneticconcentrator

logic

CHIPWORKS

Hall S ens or

XX

Y

Y

Figure 3 AK8975 Die Photograph

50 µm

C hipworks

HallS ens or

s olenoidcoil

Figure 4 AK8975 Hall Sensor Device

Z

Y

X

AS IC

Chipworks

Figure 5 AMI302 Package X-ray

From page 1

Page 15: MEMSTrends_October_Issue4

15

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

The impedance of the wire changes significantly in response to an external magnetic field aligned along the wire, due to the “skin effect”. The phenomenon is known as the Giant Magneto-Impedance (GMI) effect. The signal is picked up by the copper coil, which apparently detects the imaginary part of the MI effect.

STMicroelectronics LSM303DLH Anisotropic Magneto-Resistance Sensor

The STMicroelectronics lSM303DlH is based on Honeywell AMR technology. STMicroelectronics has publicly partnered with Honeywell for the fabrication of the device. The device also incorporates an ST accelerometer. Figure 7 shows a plan-view package X-ray of the lSM3030DlH, annotated with the location of the various chips. Decapsulation of the device revealed the accelerometer to be the same MEMS and ASIC die combination used in the lIS331DlH. The package also contained two AMR sensing chips (one for XY and one oriented at 90° for Z) and an AMR signal processing ASIC. The AMR sensing chips had Honeywell die markings.Figure 8 shows a photograph of the XY AMR sensor found in the lSM3030DlH. The die features two independent Wheatstone Bridge structures, one for X and one for Y axis magnetic sensing, color coded red and yellow in the annotations.

understanding AMR compass devices requires a more careful consideration of the physics of magnetism. Magnetic sensors are sensitive to the magnetic field strength vector inside the material

of the sensor, H. If we consider a device located within an external magnetic field vector B, then the magnetizing field inside the material is given by H = B/µo – M, where µo is the permeability of free space and M is the magnetization of the material. It is worth noting that in free space, outside of a magnetic material the B and H are the same, differing only in their units and magnitude. The operation of AMR sensors has been nicely described by S. Andreev and P. Dimitrova. In AMR ferroelectric materials the resistance varies with a cosine-squared dependence on the angle between the direction of current flow and the direction of the magnetizing field vector. The resistance has a maximum value for current flowing, in either direction, along the vector of magnetization. Application of a transverse magnetic field B perpendicular to a stripe of magnetized AMR material will rotate the magnetization vector H resulting in a reduction (ΔR) in the resistance of the strip. The sensitivity can be increased by the application of “barber pole” strips of high conductive material onto the magnetized ARM stripe, which rotates the direction of current to 45° with respect to the magnetization direction to the point of maximum slope on the ΔR curve. Furthermore, the sign of ΔR then depends on the polarity of the field B.

The “barber pole” strips used on the lSM3030DlH can be seen in Figure 8 for each of the eight Wheatstone Bridge resistor structures on the sensor die. A more detailed SEM micrograph of the AMR layer in the lSM303DlH is shown in Figure 9. The AMR strips are magnetized lengthwise by a metal 4 coil on the die. Cross-sectional analysis showed that the metal 1 AMR film to be composed of Feni permalloy, while metal 2 was composed of Al and TiW.

Concluding CommentsThe earth’s magnetic field strength ranges from 0.3 gauss to maximum of 0.6 gauss, in areas near the magnetic poles, such as in northern Canada. Table 1 shows the range and resolution provided by the three commercial magnetic compasses discussed here. The compasses all appear to provide sufficient sensitivity for measurement of the earth’s magnetic field, with the AK8975 apparently providing the best overall range and resolution. The AKM sensor also has the advantage of being a single chip CMOS-based solution, and hence likely is the lowest cost to produce. The earth’s magnetic field strength is weak compared to the overall sensitivity rangeof these devices, which likely explains why the AKM sensors do apparently required fairly regular calibration, as described on the AKM Electronic Compass Calibration web site. Related Chipworks Reports• AKM AK8973 and AK8974 3-Axis Electronic

Compass Process Review (MPR-0910-801)• AKM Semiconductor AK8973 3-Axis Electronic

Compass Circuit Analysis of Analog Blocks (CAR-1001-801)

• AKM AK8975 3-Axis Electronic Compass Exploratory Report (EXR-1007-804)

• MEMSIC MMC3120M Tri-Axis Magnetic Sensor Process Review (MPR-0907-801)

• STMicroelectronics lSM303DlH 3-Axis Accelerometer and 3-Axis Honeywell Magnetometer Sensor MEMS Process Review (MPR-1002-801).

For references please contact the author.

St.J. Dixon-Warren - Chipworks [email protected]

Manufacturer Part number Type Range Resolution ADC

AKM AK8975 Hall Sensor ±12 gauss 0.003 gauss 13 bit

STMicroelectronics lSM303DlH AMR ±8.1 gauss 0.004 gauss 12 bit

Achi Steel AMI302 MI ±10 gauss 0.01 gauss analog

M2 "barber pole"shorting strip

AMR strip

10 µm

9.3 µm

Al M2interconnect

Al M22.0 µm

artifact

currentflow

currentflow

10 µmC hipworks

F eC o amorphous ferromagnetic wire

Y -s ens or

930 µm

410 µm

90 µm

Chipworks

Figure 6 AM302 Y-Sensor Cross Section

pin 1

XY-magnetometer

Z-magnetometer

magnetometer ASIC

accelerometerand ASIC

ChipworksFigure 7 LSM303DLH Package X-ray

R1 R2

R3 R4

R4

R3

R2

R1

M4 coilM3 coil

Chipworks

Figure 8 LSM303DLH XY AMR Sensor Die Photograph

Figure 9 LSM303DLH XY Sensor “Barber Pole”Strips on the AMR Film

Table 1 Magnetic Sensor Range and Resolution

Page 16: MEMSTrends_October_Issue4

16

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

E v E N T S

MEMS Technology Summit:Vision for the future is healthcare and connectivity

But the industry luminaries gathered at the recent MEMS Technology Summit in Palo Alto, CA, organized by the founders of

novaSensor for that company’s 25th anniversary, also see a maturing industry that can now get products to market faster, and that has finally become a real systems business, poised for significant growth in networks and biomedical applications.

The hyper connected socially networked world, connecting people for tasks in entirely new ways, is one of the major technology trends driving all disruptive innovation, argued Kaigham Gabriel, deputy director of DARPA, the uS defense research agency whose job it is to foresee the unexpected. “Every person and every object is going to be connected in 3-5 years,” he noted. The agency recently challenged groups to find ten big red weather balloons tethered across the uS, and found that groups used social networks and Twitter to find them quite efficiently, with the winning team recruiting some 5000 members to locate all balloons in about nine hours. The second major disruptive technology trend is the democratization of innovation, so many more people will be involved in creating new things, much like open system software or IC design tools enable complex systems to be developed by many more designers in much less time. “I am most excited by the potential of applying semiconductor design and fab technology to things other than semiconductors,” says Gabriel, noting the potential of simplifying the design of electromechanical systems from planes to toasters.

“We think the internet of things will be a huge market,” concurred Horst Muenzel, regional president, Robert Bosch llC Research and Technology Center, citing figures from uC Berkeley of 7 trillion devices to serve 7 billion people worldwide in 2017.

Lots of enthusiasm for futureof bioMEMS

Asked what the likely topic for the 50th anniversary of MEMS would be, serial entrepreneur Kurt Petersen offered “implantable things.” Benedetto Vigna, STMicroelectronics group VP and GM, MEMS, sensors, and analog also saw bioMEMS as the next wave “In my opinion, we are now at the dawn of an new age,” argued Vigna, pointing to a market for MEMS in, on and around the body, for sensing, drug delivery, and cell and fluid handling. ST is working with partners on a small, wearable electrocardiogram sensor, a biochip that measures lactic acid, nozzles for drug dispensing, and disposable contact lenses with pressure sensors to test for glaucoma.

Others outlined a staggering array of biomedical products coming out of the lab. neural probes of micromachined arrays of silicon are in use for research, while stents with sensors in their walls and gas analyzers to identify breath markers for TB just need further integration and miniaturization, reported u. of Michigan professor Ken Wise. Janusz Bryzek, now CEO of his sixth startup Jyve, noted that the smart phone was emerging as an enabling platform for potential MEMS-based medical tools, from ultrasound imaging to breath analysis for disease.

CardioMEMS’ wireless condition monitor for heart failure is now out of clinical trials and ready to be submitted for FDA approval, reported Georgia Tech professor and company co-founder Mark Allen. High pressure puts stress on the heart and leads to heart failure, but if it can be monitored, it can be controlled with the proper mix of medication, reducing the need for hospitalization and potentially saving some $15 billion a year in direct medical costs. The company’s capacitive pressure sensor with wireless antenna is inserted near the heart with a catheter --which takes on average only seven minutes--then monitors blood pressure and sends results to a wireless scanner. Doctors can be notified by cell phone when data remains outside

the desired range for several days. Patients monitored with the device had 38% fewer hospitalizations than those getting the current gold standard of care. But Allen also warned of the hurdles involved in making devices stable enough to last with minimal drift in the very harsh environment of the body for a decade or more. “The average $40M in MEMS development costs is about right, but you have to double that for medical approval,” he noted. However, this second company product took only five years to develop, half the time of the first.

Major suppliers all also saw plenty of new applications for their core technologies. Besides HP’s MEMS data storage technology turned to ultrasensitive accelerometers for wireless sensor nodes, Avago Technology is looking at using its FBAR technology for oscillators and nano particle detectors. Knowles is looking at using microphones as sensors for sounds other than voice, though still sees a big run up in

voice applications. “I’m not a futurist,” noted Pete loeppert, VP of R&D at Knowles Electronics. “Back in 1988 I predicted I could make a microphone in a year.” After ten years he did create a product, but

From page 1

Kurt Petersen, President

of KP-MEMS

Benedetto Vigna, General Manager, MEMS Prod. Div.,

ST Microelectronics

Status of the BioMEMS industry(Yole Développement, BioMEMS report, Oct. 2010)

Page 17: MEMSTrends_October_Issue4

17

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

Heard at MEMS Technology Summit MEMS needs to move beyond MEMS. While DARPA used to put a significant budget into funding MEMS development each year, it no longer does so directly, but instead funds MEMS as part of a lot of other programs, from navigation to thermal management to 3D interconnect, pointed out Stanford professor Thomas Kenny, just retired from the uS defense research organization. “MEMS may be starting to hold us back,” he suggested, noting the need now for more interdisciplinary exchange and synergies. “Maybe we should end some MEMS conferences, then the contents of the meeting would diffuse to other meetings,” he proposed to stir up discussion.

“It’s taken 40 years, but we have finally crossed a tipping point to a systems business,” said professor Ken Wise of the university of Michigan. The new electronics takes us into health, transportation, security and energy systems. I always sort of wanted to be a DRAM designer because they were mainstream, but today MEMS is mainstream.”

MEMS needs to escape the trap of one process, one product, one application-the negation of all the experience of CMOS, argued ST’s Benedetto Vigna, noting that the company worked three years on a new gyro technology, but then scrapped it

because it made more sense to manufacture gyros with the same process as the accelerometers. It’s working on moving its pressure sensors to the same process as well.

Cars with MEMS electronic stability controls reduce fatalities by 40%-50% wherever introduced. All thanks to a Swedish journalist testing cars for that important feature of moose avoidance who famously rolled a Mercedes, creating the market for MEMS gyroscopes in ESC systems, pointed out by just about everybody.

A MEMS-based spectrometer developed by Polychromix, now Thermo Fisher Scientific, analyzed the composition of a plume of debris ejected from a crater on impact to show that there is water on the moon. Details are out in the Oct 22 issue of Science.

There’s a corollary to the rule that the typical MEMS company goes through 3-4 CEOs before shipping its first commercial MEMS product: The typical MEMS company founder starts 3-5 different companies.

Paula Doe for Yole Développement

BioMEMS 2010

Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Y O L E D É V E L O P P E M E N T

Microsystems devices driving healthcare applications

0

500

1000

1500

2000

2500

3000

3500

4000

4500

5000

2009 2010 2011 2012 2013 2014 2015

Microsystem devices for healthcare applications market 2009-2015 in $M

Medical devices

Home Care

In Vitro Diagnostics

Pharmaceutical and biological Research

SEPTEMBER 2010 - BIOMEMS REPORT

IVD Diagnostic

Home CareMedical

Respiratory MonitoringOxygen concentratorsCapnographs

Ventilator and anesthesia

Infusion devices

Surgery , imaging,Camera pills

Cardiac Monitoring

Blood Monitoring

Kidney Dialysis

Hearing aids

Dispensing

Point of care

Pharmaceutical researchIndustrial and environmental testing

Analytical devices

Activity Monitoring

Safety

Comfort

Patient monitoring

Trends in medical:•Development of BioMEMSlead to a technology shif t towards “home Care” through miniaturization and integration, f rom portable to implantable •Combination of diagnostic via Bio Sensors and treatment such as drug delivery systems will lead to improved patient monitoring

Trends in Home Care:•Home care consists today mainly in monitoring of some basic parameters, such as temperature, blood pressure, glucose, comfort and activity.•Development towards portable technology will lead to a transfer of post-operation monitoring towards home care•Improvements of sensors and bio-sensors will lead to improved patient monitoring and prevention

Trends in IVD:•Major trend towards point of care testing through integration of disposable biosensors in portable devices •Combination of biosensors and dispensing devices for theranostics•Increase sensor density to enable multiplexing and screening

contact us

For more information, feel free to contact David Jourdan:

tel: +33 472 83 01 90, Email: [email protected]

MaRKEt tREnDs

“the microsystem technologies market for healthcare applications will grow from $1.2 B in 2009 to $4.5 B in 2015, representing over 1 B units per year in 2015. In the Meanwhile wireless systems will exceed 50% market share,” says Frédéric Breussin, Project Manager, Microfluidics.

KEY FEatuREs

the BioMEMs 2010 report is a robust analysis of the Micro Devices with the most advances to develop solutions for vital bio-medical applications. the devices considered are:

• Pressure sensors• Silicon microphones• Accelerometers• Gyroscopes• Optical MEMS and Image sensors• Microfluidic chips• Microdispensers for drug delivery• Flow meters• Infrared temperature sensors• Emerging MEMS (RFID, Strain sensors, Energy Harvesting)

it was too expensive for the consumer market. The first sale finally came in 2002. now the company has sold more than 1.5B units, and is shipping ~2M units a day. loeppert projects growing demand for noise cancellation will push demand up fast from the current 1.3 mics per smart phone, to a potential market of perhaps 1 billion units.

All these growing applications will generating almost a $50 billion MEMS market by 2020, supporting a $1.7 billion market in equipment, suggested Yole president Jeff Perkins. However, by 2015 very few MEMS devices will cost more than a dollar, and only some of the dozens of companies competing in some of these niches will survive.

Paula Doe for Yole Développement

Page 18: MEMSTrends_October_Issue4

18

O C T O B E R 2 0 1 0 i s s u e n ° 4 M E M S Tr e n d sM a g a z i n e o n M E M S T e c h n o l o g i e s & M a r k e t s

About Yole Développement

CONTACTS

Beginning in 1998 with Yole Développement, we have grown to become a group of companies providing market research, strategy consulting, teardown analysis, media and finance services. With a solid focus on emerging applications using silicon and/or micro manufacturing Yole Développement group has expanded to include more than 40 associates worldwide covering MEMS, Microfluidics, Compound Semiconductor, Power Electronics, Photovoltaic, Advanced Packaging and nanomaterial. The group supports companies and investors worldwide to help them understand markets and follow technology trends to develop their business.

SERVICES• Market research and market data• Technology analysis• Market workshop• Strategy consulting• Corporate Finance Advisory

For more information about :• Yole Développement: Jean-Christophe Eloy ([email protected])• Publications: David Jourdan ([email protected])• Media activities: Sandrine leroy ([email protected])

MEDIA• Critical news, Bi-weekly: Micronews, the magazine• In-depth analysis & Quarterly Technology Magazines: MEMS

Trends Magazine – 3D Packaging Magazine – PV Manufacturing Magazine - Efficien’Si

• Online disruptive technologies website: www.i-micronews.com

• Exclusive Webcasts• live event with Market Briefings

PUBLICATIONS• Collection of market & technology reports• Players & technology databases and market data• Manufacturing cost simulation tools• Component reverse costing analysisMore information on www.yole.fr

Editorial StaffManaging Editor: Jean-Christophe Eloy Editor in chief: Dr Eric MounierEditors: Docteur Eric Mounier, laurent Robin, Jérôme Mouly, Paula DoePR & Media Manager: Sandrine leroyAssistant: Camille Favre Production: Atelier JBBOX

Lithography, spray coating, top/bottom alignment

Nano imprint lithography and hot embossing

3D integration and wafer level packaging

SOLUTIONS FOR

MEMS PROCESSES