Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from...

4
Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilane M.R. Wang a,b , Rusli b, * , M.B. Yu a , N. Babu a , C.Y. Li a , K. Rakesh a a Institute of Microelectronics, SPT, Singapore b Division of Microelectronics, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, Singapore Available online Abstract Dielectric materials with lower permittivity (low k) are required for isolation to reduce the interconnect RC delay in deep submicron integrated circuit. In this work, carbon-doped silicon oxide films are investigated as a potential low k material. The films were prepared by the radio frequency plasma-enhanced chemical vapor deposition (PECVD) technique from trimethylsilane (3MS) in an oxygen (O 2 ) environment. The O 2 /3MS flow ratio (in sccm) was varied from 50:600 to 900:600 to investigate its effects on the properties of the films. The films were also annealed at 400 jC in an N 2 atmosphere for 30 min to determine the thermal stability of their properties. Thickness and refractive index were measured by opti-probe. Chemical structures were characterized by Fourier transform infrared spectroscopy (FTIR). Dielectric constants were measured using a Si/insulator/mercury probe structure. It was found that the deposition rate increased almost three times while the refractive index decreased from 1.46 to 1.39 with increasing oxygen concentration in the gas feed. Dielectric constants as low as 2.9 have been obtained for the as-prepared film with a O 2 /3MS flow ratio of 100:600. FTIR spectra revealed that more Si – CH and Si – CH 3 groups were introduced into the silicon dioxide backbone of the films at this flow ratio, and the low dielectric constant obtained is attributed to the increased incorporation of carbon in the form Si – CH 3 bond, which has lower polarizability compared to the Si – O bonds that were replaced. The annealing has been found to lower the dielectric constant of the films, but has no effect on their composition and chemical structure. D 2004 Elsevier B.V. All rights reserved. Keywords: PECVD; Carbon-doped silicon oxide low k thin film; 3MS; Deposition rate 1. Introduction The continuous shrinking of device dimensions of ultra- large-scale integrated (ULSI) chips imposes strong demands on the backend of the line (BEOL) interconnect structures [1]. However, the higher wire resistance of smaller metal line and the crosstalk between closely spaced metal increase the interconnect RC delay. Low dielectric constant (low k) materials that serve as the interlayer dielectric and low resistance conductors such as copper [2] are hence required. For the former, carbon-doped silicon oxide [SiO(C, H)] thin films deposited using the plasma-enhanced chemical vapor deposition (PECVD) process from organosilicon precursor trimethysilane (C 3 H 10 Si, also referred to as trimethylsilane (3MS)) is one of the most favorable candidates due to their low k ( < 3) and their key electrical and integration charac- teristics being similar to those of SiO 2 [3]. The molecular structure of 3MS necessitates the use of an oxidant in the deposition process to provide a low k Si–O network [4]. The incorporation of carbon atoms serves to reduce the dielectric constant due to their reduced polarizability as compared to silicon and oxygen [5]. The properties of PECVD prepared SiO(C, H) films are strongly dependent on the deposition conditions such as temperature, process pressure, RF power and gas flow rate. In this work, we investigated the properties of SiO(C, H) films deposited using the PECVD process with different O 2 / 3MS flow ratios. We also studied the thermal stability of the films by annealing them at 400 jC in an N 2 atmosphere for 30 min. As 400 jC is currently the highest processing temperature for the BEOL structure, the stability of the dielectric material at this temperature is therefore a critical concern [1]. This study will provide us with a better understanding of the SiO(C, H) films and their thermal 0040-6090/$ - see front matter D 2004 Elsevier B.V. All rights reserved. doi:10.1016/j.tsf.2004.05.081 * Corresponding author. Tel.: +65-6790-5414; fax: +65-6792-0415. E-mail address: [email protected] (Rusli). www.elsevier.com/locate/tsf Thin Solid Films 462 – 463 (2004) 219 – 222

Transcript of Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from...

Page 1: Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilane

www.elsevier.com/locate/tsf

Thin Solid Films 462–463 (2004) 219–222

Low dielectric constant films prepared by plasma-enhanced chemical

vapor deposition from trimethylsilane

M.R. Wanga,b, Ruslib,*, M.B. Yua, N. Babua, C.Y. Lia, K. Rakesha

a Institute of Microelectronics, SPT, SingaporebDivision of Microelectronics, School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore, Singapore

Available online

Abstract

Dielectric materials with lower permittivity (low k) are required for isolation to reduce the interconnect RC delay in deep submicron

integrated circuit. In this work, carbon-doped silicon oxide films are investigated as a potential low k material. The films were prepared by the

radio frequency plasma-enhanced chemical vapor deposition (PECVD) technique from trimethylsilane (3MS) in an oxygen (O2)

environment. The O2/3MS flow ratio (in sccm) was varied from 50:600 to 900:600 to investigate its effects on the properties of the films. The

films were also annealed at 400 jC in an N2 atmosphere for 30 min to determine the thermal stability of their properties. Thickness and

refractive index were measured by opti-probe. Chemical structures were characterized by Fourier transform infrared spectroscopy (FTIR).

Dielectric constants were measured using a Si/insulator/mercury probe structure. It was found that the deposition rate increased almost three

times while the refractive index decreased from 1.46 to 1.39 with increasing oxygen concentration in the gas feed. Dielectric constants as low

as 2.9 have been obtained for the as-prepared film with a O2/3MS flow ratio of 100:600. FTIR spectra revealed that more Si–CH and Si–

CH3 groups were introduced into the silicon dioxide backbone of the films at this flow ratio, and the low dielectric constant obtained is

attributed to the increased incorporation of carbon in the form Si–CH3 bond, which has lower polarizability compared to the Si–O bonds that

were replaced. The annealing has been found to lower the dielectric constant of the films, but has no effect on their composition and chemical

structure.

D 2004 Elsevier B.V. All rights reserved.

Keywords: PECVD; Carbon-doped silicon oxide low k thin film; 3MS; Deposition rate

1. Introduction

The continuous shrinking of device dimensions of ultra-

large-scale integrated (ULSI) chips imposes strong demands

on the backend of the line (BEOL) interconnect structures

[1]. However, the higher wire resistance of smaller metal

line and the crosstalk between closely spaced metal increase

the interconnect RC delay. Low dielectric constant (low k)

materials that serve as the interlayer dielectric and low

resistance conductors such as copper [2] are hence required.

For the former, carbon-doped silicon oxide [SiO(C, H)] thin

films deposited using the plasma-enhanced chemical vapor

deposition (PECVD) process from organosilicon precursor

trimethysilane (C3H10Si, also referred to as trimethylsilane

(3MS)) is one of the most favorable candidates due to their

0040-6090/$ - see front matter D 2004 Elsevier B.V. All rights reserved.

doi:10.1016/j.tsf.2004.05.081

* Corresponding author. Tel.: +65-6790-5414; fax: +65-6792-0415.

E-mail address: [email protected] (Rusli).

low k ( < 3) and their key electrical and integration charac-

teristics being similar to those of SiO2 [3]. The molecular

structure of 3MS necessitates the use of an oxidant in the

deposition process to provide a low k Si–O network [4].

The incorporation of carbon atoms serves to reduce the

dielectric constant due to their reduced polarizability as

compared to silicon and oxygen [5].

The properties of PECVD prepared SiO(C, H) films are

strongly dependent on the deposition conditions such as

temperature, process pressure, RF power and gas flow rate.

In this work, we investigated the properties of SiO(C, H)

films deposited using the PECVD process with different O2/

3MS flow ratios. We also studied the thermal stability of the

films by annealing them at 400 jC in an N2 atmosphere for

30 min. As 400 jC is currently the highest processing

temperature for the BEOL structure, the stability of the

dielectric material at this temperature is therefore a critical

concern [1]. This study will provide us with a better

understanding of the SiO(C, H) films and their thermal

Page 2: Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilane

M.R. Wang et al. / Thin Solid Films 462–463 (2004) 219–222220

stability, and how their dielectric properties can be optimized

for use in deep submicron integrated circuit technology.

Fig. 1. Film deposition rate as a function of O2/3MS flow ratio.

2. Experimental details

All the films were deposited using the Applied Materials

CENTURA 5200 DxZ CVD single chamber system. The

process chamber is a showerhead reactor clustered with a

single radio frequency (RF) structure, and employs a per-

forated planar surface to dispense reactant precursors over

another parallel planar surface to deposit films on an 8-inch

wafer. Process precursors used include organosilicon pre-

cursor C3H10Si (3MS) and oxygen (O2). The flow rates of

the precursors were controlled using mass flow controllers.

The films were deposited on p-type h100i orientation bare

silicon 8-in. wafers, with a deposition temperature of 350

jC, process pressure of 4.0 Torr and RF power of 600 W.

The process sequence comprised gas stabilization, film

deposition for a period of 45 s and pump down. The O2

flow rate was set at 50, 100, 300, 600 and 900 sccm, while

keeping the 3MS flow rate constant at 600 sccm. To study

the thermal stability, annealing of the deposited film at 400

jC in an N2 atmosphere was performed for 30 min.

The film thickness and refractive index were measured

using the 5240i Opti-Probe system from Therma-wave with

a wavelength of 6730 A. Dielectric constant measurements

were carried out on the SSM 495 CV system (Solid State

Measurements), which is an automatic mercury probe ca-

pacitance–voltage (CV) measurement system. Capacitance

and conductance were measured as a function of voltage at

0.926 MHz. The atomic bonding and their relative concen-

trations in the films were characterized by the Fourier

Transform Infrared (FTIR) spectroscopy using a Bio-Rad’s

QS2200 system.

3. Results and discussion

The film deposition rate is found to increase with the O2/

3MS flow ratio, as shown in Fig. 1. The decomposition of

3MS in the plasma is less efficient compared to O2.

Therefore, it is expected that reactive oxygen radicals are

first formed and adsorbed at the substrate surface. Oxygen

radicals also activate and dissociate 3MS molecules into

highly reactive species that bring Si–C bonds to the

substrate. Finally, oxygen radicals selectively oxidize de-

posited Si–C bonds and form Si–O bonds on the surface.

This selective oxidation is highly dependent on the oxygen

radical density [6]. Therefore, a higher O2 flow rate that

leads to larger oxygen radical concentration will give rise to

higher deposition rate, as can be seen in Fig. 1. The

saturation of the deposition rate at higher oxygen flow rate

is attributed to larger oxygen radical concentration leading

to the sputtering of the films. The percentage of thickness

shrinkage upon annealing has been found to be small and

less of 1% for all the films, which is within the uncertainty

of the measurement technique, as shown in Fig. 2. This

indicates that the chemical structures of the films are stable

for up to 400 jC in an N2 atmosphere.

Fig. 3 shows the refractive indices of the films both as-

deposited and after annealing. For the as-deposited films,

the refractive index decreases from 1.46 to 1.39 with

increasing O2/3MS flow ratio. This is attributed to the

increase in the volume fraction of silicon dioxide compared

to silicon carbide in the films, as shall be seen shortly from

the FTIR results, given their respective refractive indices of

1.45 and about 2.00. As the refractive index at higher O2/

3MS flow ratio decreases below 1.45, it suggests an increase

in the volume fraction of micro pores in the films. Indeed,

SiO(C,H) films are known to be a form of a-SiOC: H

compound with a lot of open volume and ‘‘imperfect’’ Si–

O–Si sp3 tetrahedral bonds terminated by CH3 and/or H,

plus some loose, unsaturated methyl groups (CHx) trapped

in the open cores of the structure [7]. Almost no changes

have been observed in the refractive indices of the annealed

films within the uncertainty of the measurement technique.

Such observation is consistent with the earlier conclusion

that the films are chemically and structurally stable up to

400 jC.The dielectric constants of the films as a function of the

O2/3MS flow ratio are presented in Fig. 4. The k values of

the as-deposited films differ slight from the annealed films

at lower O2/3MS flow ratio, but increase sharply when the

ratio exceeds 100:600. The lowest dielectric constant of

2.96 is obtained for the film deposited at a O2/3MS flow

ratio of 100:600. There are two possible reasons for the

sharp increase in the dielectric constant at higher flow ratio.

Firstly, it is related to carbon doping in the films. For SiO(C,

H) low k films, carbon is incorporated into the SiO2

backbone structure resulting in Si–O bonds being replaced

with Si–CH3 bonds with reduced polarizability, and conse-

quently leading to lower dielectric constants. Conversely,

the increase in the k values at higher O2/3MS flow ratio is

Page 3: Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilane

Fig. 4. Dielectric constants of the as-deposited and annealed films.Fig. 2. Thickness shrinkage of the annealed films.

M.R. Wang et al. / Thin Solid Films 462–463 (2004) 219–222 221

due to a decrease in the number of Si–CH3 bonds in the

films. Secondly, the increased O2 flow rate will lead to an

effect similar to strong O2 plasma treatment of the films. It

had been reported that exposure to the O2 plasma treatment

resulted in many dangling bonds arising from the enhanced

breaking of Si–H bonds by oxygen radicals [8]. Some of the

dangling bonds formed Si–O bonds and others Si–OH

bonds. As our films were not subjected to any further

treatment steps upon deposition, there could be water

adsorption to the dangling bonds when the films were

exposed to air. This can also account for the observed

increase in the dielectric constant, since water has a very

high dielectric constant of 83. Upon annealing, moisture on

the surface of films was reduced and the dangling bonds re-

bonded, leading to a decrease in the dielectric constant, as

seen in Fig. 4.

The FTIR spectra of the as-deposited and annealed films

are provided in Fig. 5(a) and (b), respectively. The peaks

observed at 780 and 1270 cm� 1 are assigned to the

symmetric deformation vibration of CH3 in Si–CH3 group,

Fig. 3. The refractive indices of the as-deposited and annealed films.

while that at 1040 cm� 1 is assigned to Si–O structure.

There is an obvious shoulder at about 1130 cm� 1 in all the

absorption spectra, associated with the broad Si–O–Si

Fig. 5. The FTIR spectra of the (a) as deposited and (b) annealed films.

Page 4: Low dielectric constant films prepared by plasma-enhanced chemical vapor deposition from trimethylsilane

Fig. 6. The integrated absorption area ratio of the Si–CH3 bonds to Si–O

bonds as a function of O2/3MS flow ratio.

M.R. Wang et al. / Thin Solid Films 462–463 (2004) 219–222222

peak. It was suggested that this shoulder corresponds to Si–

O–Si in a cage structure [6], which can lead to micro-pores

and consequently a lower refractive index. The peak near

2250 cm� 1 indicates Si–H bonding. The absorption at 2990

to 2890 cm� 1 is assigned to the CMHm, m = 1–3 stretching

mode [6,9]. A broad peak at about 3500–3600 cm� 1

indicates Si–OH bond. All the films exhibit the same

bonding structure, and it is noted that the intensity of the

Si–H bond absorption decreases and that of Si–OH bond

increases at higher O2/3MS flow ratio. This is attributed to

the breaking of Si–H bonds, which subsequently form Si–

O bonds and Si–OH bonds when the samples were exposed

in air, as discussed earlier. The FTIR spectra of the annealed

films plotted in Fig. 5(b) appear similar to those of the as-

deposited films. This is consistent with the earlier conclu-

sion that the film is chemically and structurally stable up to

400 jC. It is noticed that the moisture adsorption occurs

only at the surface of the films, and that the FTIR absorption

is not sensitive to a very thin surface layer, therefore, there is

no obvious change observed in the FTIR spectra between

the as-deposited and annealed films. Despite this, a signif-

icant change in the dielectric constant could still be seen, as

shown earlier, because the refractive index of water is

around 40 times higher than that of SiO(C,H) films. We

have plotted the Si–CH3/Si–O ratio of the films as a

function of the O2/3MS flow ratio as shown in Fig. 6.

Comparing Figs. 4 and 6, it can be seen that there is an

inverse relation between the Si–CH3/Si–O ratio and the k

value. This is attributed to the lower polarizability of Si–

CH3 bonds that give rise to the lower dielectric constant.

4. Conclusion

The characterization and properties of low dielectric

constant films prepared by PECVD from trimethylsilane

have been investigated. Dielectric constant as low as 2.9 has

been obtained from the prepared film with O2/3MS flow

ratio of 100:600. An increase in the oxygen flow rate results

in films with higher k and higher deposition rates but lower

refractive indices. Although the material did not exhibit any

changes in terms of composition and chemical structure

after annealing, however, a reduction in the dielectric

constant has been observed. This is attributed mainly to

the desorption of moisture and rebonding of dangling bonds

at the surface of films.

Acknowledgements

The authors would like to acknowledge the contributions

by the micro-fabrication group of the semiconductor process

technologies lab at the Institute of the Microelectronics for

part of the characterization work.

References

[1] A. Grill, V. Patel, J. Appl. Phys. 85 (6) (1999) 3314.

[2] M. Morgen, E. Todd Ryan, J.-H. Zhao, C. Hu, T. Cho, P.S. Ho, Annu.

Rev. Mater. Sci. 30 (2000) 645–680.

[3] M.J. Loboda, Microelectron. Eng. 50 (2000) 15–23.

[4] M.O. Neill, A. Lukas, R. Vrtis, J. Vincent, B. Peterson, M. Bitner, E.

Karwacki, Semiconductor International. (2002 June) (Internet

resource).

[5] B.K. Hwang, M.J. Loboda, G.A. Cerny, R.F. Schneider, J.A. Seifferly,

T. Washer, Interconnect Technology Conference 2000, Proceedings of

the IEEE 2000 International, 5–7 June, 2000, pp. 52–54.

[6] L.M. Han, J.-s. Pan, S.-M. Chen, N. Balasubramanian, J. Shi, L.S.

Wong, P.D. Foo, J. Electrochem. Soc. 148 (7) (2001) F148–F153.

[7] S.-K. JangJean, Y.-L. Wang, C.-P. Liu, W.-S. Hwang, W.-T. Tseng,

C.-W. Liu, Journal of Applied Physics 94 (2003) 732–737.

[8] P.T. Liu, T.C. Chang, S.M. Sze, F.M. Pan, Y.J. Mei, W.F. Wu, M.S.

Tsai, B.T. Dai, C.Y Chang, F.Y. Shih, H.D. Huang, Thin Solid Films

332 (1998) 345–350.

[9] G. Socrates, Infrared Characteristic Group Frequencies, Wiley, New

York, 1994.