July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia...

33
1 July CMC Associates Analyst Presentation & Meeting Precursors: Jonas Sundqvist PhD Facilitator Dean Freeman

Transcript of July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia...

Page 1: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

1

July CMC Associates Analyst Presentation & Meeting

Precursors: Jonas Sundqvist PhDFacilitator Dean Freeman

Page 2: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

July 2018CMC Associate Member Monthly Meeting

Fall MeetingNingbo,

Seminar Oct 30-31 ICMtiaF2F Nov. 1-2

Membership update

Analyst Update Today: Jonas Sundqvist-Precursors

Next MonthSession I: 8am PST Dean Freeman-WafersSession II: 6pm PST Dean Freeman-Wafers

CMC Associate Member and TECHCET CA LLC Confidential 2

2

Page 3: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for
Page 4: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Sponsorship Opportunity NingboSponsorship Levels

Members Only Reception - $3.5 ( limit 5) Gold- $2.2K

Poster/Banner display at the reception Podium recognition

Your Logo placement on:

• Clickable/Measurable Logo Linked to Your Site on 3- TECHCET/CMCfabs/ CriticalMaterials. Org Websites

- Link to Sponsor Email Window option

• All Promotional Emails

• CMC Conference Sponsor Slide shown at the beginning and end of each day

• CMC Conference Sponsor Slide at reception

CMC Conference Program Lunch break slides Closing slide

Podium recognition

Your Logo placement on:

• Clickable/Measurable Logo Linked to Your Site on 2 TECHCET/CMCfabs Websites

- Link to Sponsor Email Window option

• All Promotional Emails

• CMC Conference Sponsor Slide shown at the beginning and end of each day

CMC Conference Program Lunch break slides Closing slide

Page 5: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Speaker Presentation Title

Richard Hogg

Director China Corporate Strategy Office

Intel Corporation

The development in international chip

fabrication and opportunities for

China

Zhang Suxin

Chairman

Shanghai Hua Hong (group) co. LTD

China's chip manufacturing industry

development prospects and

Dr.John Lau

Senior technical advisor

ASM Pacific Technology Ltd.

Recent Advances and Outlooks of

Flip Chip, WLCSP, and FOWLP

Dr. Liang Xinfu

Jiangsu Changjiang Electronics Technology Co.,

Ltd.(JCET)

China's packaging and testing

industry development prospects and

material requirements

Ingrid Shi

Secretary General

ICMtia

Update on China's Growing

Semiconductor Supply-Chain

Shumin Wang

General Manger

Anji Microelectronics (Shanghai) Co., Ltd.

CMP

Chen Tianan

General Manager

Darbond Technology Co.,Ltd

封测材料 Sealing material

Dr. Hua Fei

Current status and development

trend of international packaging

materials

More speakers TBD!

ICMTia Conference Day, Day 1, October 30

Speaker Presentation TitleLita Shon-Roy

President / CEO

TECHCET

Global Materials Markets & Supply-

Chains

CMC Fab Member Presentation - speaker TBDInternational Supply-Chain

Management Challenges

Dan Tracy

Sr. Market Analyst

TECHCET

Semiconductor Metals & Supply-

Chains (sputter targets & packaging)

David Huang

VP

Pall Filters

Supply-chain challenges of Purifiers

and Point-Of-Use-Filtration

LingGas

Developing Electronics-grade

Materials Supply in China for Global

Customers

CMC Fab Member Presentation - speaker TBDTransferring quality standards in

materials

Zhiyou Du (or alternatve)

VP AMEC or

Piotech

Semiconductor equipment supply

Chain for equipment mfgrs in China -

inside /outside China - focus on the John West

Managing Director

VLSI Research

Equipment Market Outlook &

Component Market Segments

Dean Freeman

Sr. Market Analyst

TECHCET

Silicon Wafer market and forecast,

rise of Chinese wafer suppliers,

tightness of market allow them to Jonathon Shang

Director

Global Surplus

Used 200mm tools - changing market

dynamics

CMC Seminar, Day 2, October 31

More speakers TBD!

CMC Seminar/ ICMtia Keynotes - Joint Conference Event -

Page 6: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Other elements about the Joint Conference and Following Fabs Meeting

All CMC Members will receive free entrance – deadline Sept. 10

If you are interested in giving a presentation that focuses on quality, logistics or supply-chain issues, please submit your 1-2 paragraph abstract to [email protected]

All CMC Associate Members and ICMTia Members will have the opportunity to apply for Speed-Dating with the CMC Fabs, to occur in the morning of November 1. If you are interested, please let Dean know, [email protected] ; Deadline is August 15.

Each company must complete questionnaire in order to be considered

Page 7: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Prepare to Attend

Let Meena know ([email protected]) you will be attending as soon as possible (deadline September 12)

Do you need a hotel?

Dates of arrival and departure

How many people in your party will be attending?

Do you have a visa? If no, then you must request an invitation letter from Meena and send your passport to passport service agency get Visa

Check Website to understand travel your options to travel into Ningbo

There is an airport in Ningbo

Page 8: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Fabs and Associate Members

January 2018 8Copyright 2018 TECHCET CA LLC All Rights Reserved CMC Fabs CONFIDENTIAL

Page 9: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

UpdatesMembership renewals Ongoing

Continue to grow CMC Fab and Associate MembershipNow offering multiple seats for associate membersAssociate Membership to Equipment Manufacturers

Monthly Webinars with Analysts, see table

PCN Committee met last week ( July 17th):Co-Chairs Fred McNeil, Matheson, John Birchenough, CypressReviewed Fab levels for change definition, need for standardization, learning from auto industry. Next meeting the week of August email John ([email protected]) if you wish to participate.

CMC Associate Member and TECHCET CA LLC Confidential 9

Page 10: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

2018 Outlook Continued

Reports still rolling out Neon and Xenon report will be out this month.

Look for updated Silicon Wafer report.

CMC Associate Member and TECHCET CA LLC Confidential 10

Page 11: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

2018 Meeting Calendar w/ Analysts

11CMC Associate Member and TECHCET CA LLC Confidential

YEAR MONTH DAY Meeting Type Subject/Analyst Analyst

2018 January 17 CMC ASSOCIATES Silicon Wafers Mike

2018 February 14 CMC ASSOCIATES Wet Chem Yu

2018 March 21 CMC ASSOCIATES Ceramics Tim

2018 April 25,26,27 CMC Meeting & Conference Gases/Metals Various

2018 May 16 CMC ASSOCIATES Photoresist Ed

2018 June 20 CMC ASSOCIATES CMP Diane

2018 July 25 CMC ASSOCIATES Precursors Jonas

2018 August 15 CMC ASSOCIATES Silicon Wafers Mike

2018 September 19 CMC ASSOCIATES Metals Terry

2018 October ? CMC Seminar & meeting Various

2018 November 7 CMC ASSOCIATES Wet Chem Yu

2018 December 5 CMC ASSOCIATES Gases Bruce

Page 12: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Jonas Sundqvist, TECHCET Sr. Analyst

12

Jonas Sundqvist, Ph.D. – Sr. Technology Analyst Has over 20 years of work experience which includes group leader of the High-k devices group at Fraunhofer’s Center Nanoelectronic Technologies (CNT), which included 28nm node work for GLOBALFOUNDRIES Fab1. While there, he founded the ALD Lab Dresden together with TU Dresden. At Infineon Memory Development Centre (MDC) he developed high-k and metal nitride ALD processes, and at Qimonda he was a materials manager focused on the ALD / CVD precursors supply-chain. He is the founder of BALD Engineering, an independent blog and networking platform for ALD. He holds a Ph.D. and a M.S. in inorganic chemistry from Uppsala University, Sweden, a B.S. in electrical and electronics engineering from Lars Kagg, and nine patents.

Page 13: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Semiconductor PrecursorsMetal, High K, Dielectric/SOD

July 26, 2018

By Jonas Sundqvist, Ph.D., Sr. [email protected]

+1-480-382-8336

Page 14: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Readers’ Note:▪ This presentation represents the interpretation and analysis of information

generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods.

▪ The information contained in this presentation is for the use of TECHCET’s representatives and customers or prospective customers. It is considered confidential in nature and should not be shared with others outside of the aforementioned parties. Your cooperation is much appreciated.

[email protected] 10/1/2017

TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

14

Confidential Information

Page 15: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Data - The driver behind ALD/CVD Wafer processing

[email protected] 10/1/2017

15TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

DRAM

NAND / 3D NAND

Foundry / Logic

TECHCET see a steady growth in demand for atomic-layer deposition (ALD), chemical-vapor deposition (CVD) and spin-on dielectric (SOD) precursors, which should result in a combined global market size of US$1.3 billion by the year 2023 at 8-9% CAGR.

Page 16: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Precursor Growth DriversAdvanced logic benefits as 10 nm followed by 7 nm moves from qualification into production

Multiple patterning (SADP, SAQP) for logic and memory

Completion of the NAND to 3D-NAND transition as 3D will account for 2/3 of the capacity in 2018 (VLSI Research, April 2018)

Continued high demand for DRAM

China investments in new Fabs and expansions continue for logic and memory

Niche memory technologies like spin-transfer torque MRAM (STT-MRAM), Resistive RAM (RRAM), Ferroelectric FET (FeFET) and RAM (FRAM), and Cross-Point memory will grow over the coming 5 years

[email protected] 10/1/2017

16TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 17: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

TECHCET Precursor Segmentation

1) ALD/CVD Metal & High-k Precursors

Tungsten (WF6, WCl6)

Tantalum (PDMAT)

Titanium (TDMAT, TiCl4)

Cobalt (CCTBA, CoCOCp)

Hafnium (HfCl4)

Zirconium (ZrCps)

Aluminium (TMA, AlCl3)

[email protected] 10/1/2017

17TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

2) Dielectric ALD/CVD/SOD Precursors

BTBAS

DIPAS, BDEAS, 3DMAS

4MS, 3MS

TEOS

TSA

HCDS

SOD Precursors

Page 18: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

ALD/CVD Metal & High-k Precursors

[email protected] 10/1/2017

18TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

The combined markets for ALD and CVD metal precursors are estimated to be approximately US$460M 2018 and above US$620M by 2023.

Average long term CAGR of 8% over 2013 to 2023

US$ 460M

Page 19: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

ALD/CVD/SOD Dielectric Precursors

Dielectric precursors show growth in all segments for the next 5 years reaching US$660 M in 2023

As for metal precursors, the market has over an extended period of time had a double digit growth and we expect the market to continue to develop as the transition to 10 nm and 7 nm node logic and 3D-NAND take place with an average long term CAGR of 9% over 2015 to 2023

[email protected] 10/1/2017

19TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

US$ 450M

Page 20: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Cobalt interconnects

Introduction of Co CVD encapsulation and transition to Cobalt contacts and local interconnects

[email protected] 10/1/2017

20TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

1) A low temperature single wafer CVD processes to form a copper via/line liner application functioning as a seed layer for copper plating as well as a copper diffusion barrier

2) A medium temperature single wafer selective CVD copper cap process that in combination with the cobalt liner process encapsulates the copper vias and lines

3) For local interconnects a complete cobalt metal via fill by PVD/CVD or ELD will be implemented as of 10 nm Intel and 7 nm Foundry.

Page 21: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Cobalt

Cobalt prices have been rising on the back of anticipated demand from the electric car sector. The price surge, together with supply concerns, has pushed EV Auto manufacturers to look for options to reduce their consumption of cobalt.

Cobalt CVD precursors will be strategic materials to enable the next generation of IC interconnects. Due to competitive need for cobalt as active materials in lithium batteries used in EVs and ethical issues in the supply chain cobalt metal pricing is volatile and reliable forecasting difficult.

Russian supply of Cobalt intermediate is a concern in the industry, both with respect to political situation and ESH and tracability

Other sources are US and EU based

[email protected] 10/1/2017

21TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 22: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Ruthenium

Ruthenium has been reported to be used in Intel 10nm and is on the roadmaps for interconnects <7nm

Ruthenium has historically volatile pricing and the current pricing is in an upward trend

Concerns are that recapture and recycling technology need to be deployed and recycled precursor can´t easily be requalified for use.

[email protected] 10/1/2017

22TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 23: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

High-k - Zirconium & Hafnium

Hafnium and zirconium ALD precursors grow with annual wafer starts for Logic resp. DRAM with potential implementation for non-volatile memories in 3-5 years and peripheral Logic in DRAM.

The Zr and Hf supply is driven by Zr demand in the Nuclear industry and France (Areva) and China (ATI Wah Chang) are controlling the supply chain.

[email protected] 10/1/2017

23TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 24: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

High-k – IP filing

[email protected] 10/1/2017

24TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

0

200

400

600

800

1000

1200

1400

0%

10%

20%

30%

40%

50%

60%

70%

80%

90%

100%

1993 1994 1995 1996 1997 1998 1999 2000 2001 2002 2003 2004 20052006 2007 20082009 2010 2011 2012 2013 2014 2015 2016 2017 2018

High-k Material IP (Applications) TECHCET tracks IP filedfor metal oxides in High K applicationsincluding:

❑ Al2O3❑ TiO2❑ HfO2❑ ZrO2❑ REO❑ STO, BST, PZT❑ Ta2O5

Page 25: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Dielectric ALD/CVD Precursors

Plasma enhanced CVD and ALD processes drive the growth for silicon precursors due to multiple wafer passes for the 3DNAND dielectric stacks and self-aligned multiple patterning in both Logic and Memory. As of 7nm EUV lithography and multiple patterning will be used together and will enable further scaling and continued growth.

For dielectrics (SiO2, SiN) and high-k dielectrics research and development the general trend is to use chemical vapor deposition methods including thermal and plasma enhanced CVD and ALD. Today >80% of all the IP filed is by these methods and limited development is taking place employing PVD or SOD.

For dielectrics the market is also dominated by Air Liquide and Versum Materials. but Adeka has only minimal activity for silicon precursors.

[email protected] 10/1/2017

25TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 26: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

ALD Equipment Market

Tokyo Electron has passed ASM in revenue 2017

90% of the market is 300mm wafer processing equipment

TEL and Hitachi Kokusai dominates Large Batch ALD

300 mm Spatial ALD and Multi wafer tools continues taking market shares vs. Single wafer & Large Batch

[email protected] 10/1/2017

26TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

ALD Equipment Market Estimate 2017US$ 1.8 to 1.9 B

(Semi, PV, Display, MEMS, R&D)

Tokyo Electron

ASM International

Hitachi Kokusai Electric

Lam Research

Wonik IPS

Jusung Engineering

Applied Materials

Other

Page 27: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Competing Industries

Techcet is tracking competing industries for ALD and CVD precursors and the most important industries in high volume manufacturing today are:

Non-Semi Wafer based (e.g. MEMS and LED)

AMOLED Flexible display

Photovoltaic

R&D Equipment

From an ALD equipment perspective these markets account for <10% (US$ 190 M) of the total annual revenue for ALD equipment (US$ 1.8 to 1.9 B).

[email protected] 10/1/2017

27TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 28: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Advanced Precursors for China Fabs

[email protected] 10/1/2017

28TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

35%

38%

23%

4%

China Fab Capacity 2020 (1.2 B wpm)

Logic Foundry

Non volatileMemory

DRAM

Other

Q4/2018 for the SMIC 14 nm FinFET Fab

Q2/2020 for the HLMC 14 nm FinFET

Q3/2018 for the TSMC Nanjing Fab 16 nm FinFET

Page 29: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

New Precursor Materials Including New Entrants

Thermal ALD of Aluminium – Metal Gates/ Electrodes

Cobalt, Copper and Iron Amidinate– Liner/Interconnect

Cobalt CVD Precursor – Cosine™

Ruthenium Precursors – Selective Deposition

Si-Precursors

[email protected] 10/1/2017

29TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 30: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Concluding Remarks

steady growth and demand for ALD, CVD and SOD precursors, which should result in a combined global market size of US$1.3 billion by the year 2023 at 8-9% CAGR.

Cobalt metal and CVD crude material is a concern with respect to volatile pricing, ESH, ethical sourcing and supply chain

Multiple patterning and 3DNAND drive growth for dielectric ALD/CVD precursors

China fabs will need advanced ALD/CVD precursor supply starting now!

[email protected] 10/1/2017

30TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 31: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Suppliers Included

Suppliers covered in this report include: Adeka, Air Liquide, Azmax part of Azuma group, BASF, DNF “Dream New Future”, Dow Corning, Digital Specialty Chemicals (DSC), DowDuPont, Entegris, Epivalence, FujiFilm, Gelest, H.C. Starck, Kojundo Chemical Laboratory, Merck’s EMD Performance Materials, Nanmat Technology, Norquay Technology, Nova-Kem, Nanogen Solutions, Pegasus Chemicals, Praxair, Soulbrain, STREM, TCI Chemicals, Tri Chemical Laboratories, Umicore, UP Chemical, Versum Materials and more.

[email protected] 10/1/2017

31TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

Page 32: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Thank [email protected]

+49 (0) 152 0294 3083

[email protected] 10/1/2017

TECHCET CA LLC Copyright 2017 all rights reserved. TECHCET/CMC Confidential www.techcet.com

32

Page 33: July CMC Associates Analyst Presentation & Meeting€¦ · 17/1/2018  · Seminar Oct 30-31 ICMtia F2F Nov. 1-2 Membership update Analyst Update Today: Jonas Sundqvist- ... Look for

Thank-you!

Next Meeting is August 15th , Dean Freeman Speaking on Silicon Wafers.

Contact Dean Freeman with any questions [email protected] 925-200-6607

CMC Associate Member and TECHCET CA LLC Confidential

33