Jitter Models for the Design

12
Testing at MultiGbps Rates 302 0740-7475/04/$20.00 © 2004 IEEE Copublished by the IEEE CS and the IEEE CASS IEEE Design & Test of Computers THE RECENT DEPLOYMENT of gigabit-per-second (Gbps) serial I/O interconnects aims at overcoming data transfer bottlenecks resulting from the limited ability to increase chip pin counts in parallel bus architectures. Gigabit-per-second data rates in today’s asynchronous I/O interconnects introduce new signal integrity issues. The traditional measure of a communication link’s perfor- mance has been its associated bit error rate (BER), which is the ratio of the number of bits received in error to the total number of bits transmitted. When data rates increase, jitter magnitude and signal amplitude noise must decrease to maintain the same BER. As data rates exceed 1 Gbps, a slight increase in jitter or amplitude noise has a far greater effect on the BER. Specifying jitter and noise simply through peak-to-peak or root-mean-square (RMS) values is inadequate and insufficiently accurate. 1 Peak-to-peak value is sample-size dependent and is inaccurate in the presence of random noise because, by definition, random noise is unbound- ed. A peak-to-peak random jitter (RJ) measurement is ambiguous without an established boundary condition. Conversely, describing total jitter (TJ) simply by an RMS value is inaccurate in the presence of nonrandom noise. This is because a deterministic jitter (DJ) probability den- sity function (PDF) can take any form and might have lit- tle correlation with a DJ RMS value. An RMS value is only valid for describing a Gaussian distribu- tion such as RJ. Moreover, a simple RMS or peak-to-peak number cannot suffi- ciently describe the characteristics of dif- ferent types of jitter. Overall, we need more-accurate jitter and noise models to allow better predictions and characteri- zations of devices subject to jitter effects. One difficulty with jitter analysis is identifying the dif- ferent jitter components contributing to TJ. Deconvolution algorithms such as the TailFit algorithm can separate TJ into its random and deterministic com- ponents. 2-4 Another method uses a real-time sampling oscilloscope to capture the timing information of each edge transition in a data stream. Proper techniques can then extract jitter parameters directly from the acquired data set. We can further decompose DJ to model the dif- ferent impacts of its subcomponents on link perfor- mance. This article presents models that allow for such further jitter decomposition. Jitter definition Jitter is the deviation of a signal’s timing event from its intended (ideal) occurrence in time, as shown in Figure 1a. Traditionally, an eye diagram, like that shown in Figure 1b, has served to specify signal integrity limits, including jitter. It’s possible to express jitter in absolute time or normalized to a unit interval (UI). A UI is the ideal or average time duration of a single bit or the rec- iprocal of the average data rate. An eye diagram is a composite of all the bit periods of the captured bits superimposed on each other relative to a bit clock (recovered or available from the source). We call the area within the eye the eye opening. Jitter Models for the Design and Test of Gbps-Speed Serial Interconnects Editor’s note: Gigabit data rates in high-speed interconnects require careful modeling of jitter and its effect on the bit error rates. This article presents a comprehensive analysis of jitter causes and types, and develops accurate jitter models for design and test of high-speed interconnects. —Dimitris Gizopoulos, University of Piraeus Nelson Ou, Touraj Farahmand, Andy Kuo, Sassan Tabatabaei, and André Ivanov University of British Columbia

description

CMOS, analog, circuits

Transcript of Jitter Models for the Design

  • Testing at MultiGbps Rates

    302 0740-7475/04/$20.00 2004 IEEE Copublished by the IEEE CS and the IEEE CASS IEEE Design & Test of Computers

    THE RECENT DEPLOYMENT of gigabit-per-second(Gbps) serial I/O interconnects aims at overcoming datatransfer bottlenecks resulting from the limited ability toincrease chip pin counts in parallel bus architectures.Gigabit-per-second data rates in todays asynchronous I/Ointerconnects introduce new signal integrity issues. Thetraditional measure of a communication links perfor-mance has been its associated bit error rate (BER), whichis the ratio of the number of bits received in error to thetotal number of bits transmitted. When data ratesincrease, jitter magnitude and signal amplitude noisemust decrease to maintain the same BER. As data ratesexceed 1 Gbps, a slight increase in jitter or amplitudenoise has a far greater effect on the BER.

    Specifying jitter and noise simply through peak-to-peakor root-mean-square (RMS) values is inadequate andinsufciently accurate.1 Peak-to-peak value is sample-sizedependent and is inaccurate in the presence of randomnoise because, by denition, random noise is unbound-ed. A peak-to-peak random jitter (RJ) measurement isambiguous without an established boundary condition.Conversely, describing total jitter (TJ) simply by an RMSvalue is inaccurate in the presence of nonrandom noise.This is because a deterministic jitter (DJ) probability den-sity function (PDF) can take any form and might have lit-tle correlation with a DJ RMS value. An RMS value is only

    valid for describing a Gaussian distribu-tion such as RJ. Moreover, a simple RMSor peak-to-peak number cannot suf-ciently describe the characteristics of dif-ferent types of jitter. Overall, we needmore-accurate jitter and noise models toallow better predictions and characteri-zations of devices subject to jitter effects.

    One difculty with jitter analysis is identifying the dif-ferent jitter components contributing to TJ.Deconvolution algorithms such as the TailFit algorithmcan separate TJ into its random and deterministic com-ponents.2-4 Another method uses a real-time samplingoscilloscope to capture the timing information of eachedge transition in a data stream. Proper techniques canthen extract jitter parameters directly from the acquireddata set. We can further decompose DJ to model the dif-ferent impacts of its subcomponents on link perfor-mance. This article presents models that allow for suchfurther jitter decomposition.

    Jitter definitionJitter is the deviation of a signals timing event from

    its intended (ideal) occurrence in time, as shown inFigure 1a. Traditionally, an eye diagram, like that shownin Figure 1b, has served to specify signal integrity limits,including jitter. Its possible to express jitter in absolutetime or normalized to a unit interval (UI). A UI is theideal or average time duration of a single bit or the rec-iprocal of the average data rate. An eye diagram is acomposite of all the bit periods of the captured bitssuperimposed on each other relative to a bit clock(recovered or available from the source). We call thearea within the eye the eye opening.

    Jitter Models for the Designand Test of Gbps-SpeedSerial Interconnects

    Editors note:Gigabit data rates in high-speed interconnects require careful modeling ofjitter and its effect on the bit error rates. This article presents a comprehensiveanalysis of jitter causes and types, and develops accurate jitter models fordesign and test of high-speed interconnects.

    Dimitris Gizopoulos, University of Piraeus

    Nelson Ou, Touraj Farahmand, Andy Kuo, Sassan Tabatabaei, and Andr IvanovUniversity of British Columbia

  • Specic protocol AC and DC specications can con-struct so-called eye masks. Such eye masks can repre-sent the minimum signal requirements at the transmitteroutput or at the receiver input. In device characteriza-tion stages, fitting an eye mask over an eye openingshows signal compliance with a protocol. Any signal

    crossing the eye mask is violating thespecication. A transmitter and receiverwould normally have different specica-tions, and thus different eye masks.Figure 1c shows combined transmitterand receiver eye diagrams with corre-sponding eye masks fitted over the eyeopenings. Overlapping the transmitterand receiver eye masks, as Figure 1dshows, gives a measure of signal ampli-tude attenuation budget and jitter budgetfor the interconnect.5

    TJs two subcategories are DJ andRJ.3,6-8 Figure 2 shows TJs various sub-components.3

    A serial communication links jitterspecifications normally indicate TJ andeither RJ or DJor both. When RJappears as a peak-to-peak value, someI/O standards define TJ as equal to thesum of RJ and DJ when these areexpressed in time units.2 When jitter isexpressed through a PDF, the TJs PDF isequal to the convolution of its RJ and DJcomponents.9

    DJ in turn comprises several subcom-ponents. Sinusoidal jitter/periodic jitter(PJ) refers to periodic variations of signaledge positions over time. Possible caus-es of PJ are electromagnetic interferencesources such as power supplies. Bounded

    uncorrelated jitter (BUJ) is typically due to couplingfor example, from adjacent data-carrying links or on-chip random logic switching.8 BUJ is bounded owing tothe finite coupling strength, and the exact modeldepends on the data pattern, coupling signal, and cou-pling mechanism. Because generally applicable mod-

    303JulyAugust 2004

    0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9

    0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9

    (d)

    (c)

    Receivereye mask

    Transmittereye mask

    Jitter budget

    Attenuationbudget

    (b)

    Right eye crossingLeft eye crossing

    tUI = 0 tUI = 11 unit interval

    Eye opening

    (a)

    Idealtimingevent

    Jitter

    Figure 1. Jitter (a) and eye diagram (b). Examples of

    transmitter (top) and receiver (bottom) eye diagrams with

    masks (c) specify signal integrity limits. Overlapping the

    masks gives an indication of attenuation and jitter budgets (d).

    Total jitter (TJ)

    Deterministic jitter (DJ) Random jitter (RJ)

    Periodic jitter(PJ)

    Data-dependentjitter (DDJ)

    Duty-cycle distortion(DCD)

    Intersymbolinterference (ISI)

    Bounded uncorrelatedjitter (BUJ)

    Figure 2. Subcomponents of total jitter.

  • els arent available, we do not further discuss BUJ in thisarticle. Data-dependent jitter (DDJ) corresponds to avariable jitter that depends on the bit pattern transmit-ted on the link under test. DDJ does not describe jitterinduced by crosstalk resulting from coupling with othersignal paths.

    DDJ in turn has two subcomponents. The first DDJsubcomponent, duty-cycle distortion (DCD), describesa jitter amounting to a signal having unequal pulsewidths for high and low logic values. Causes of DCD canbe voltage offsets between the differential inputs, anddifferences between the systems rise and fall times.8

    The second DDJ subcomponent, intersymbol inter-ference (ISI), is jitter that depends on the transmitted pat-terns. ISI has three main causes:

    Bandwidth limitation of the transmission mediumcan result in effects on a single bit that come fromthe sequence of preceding bits.

    The nonlinear phase response of the transmissionmedia can cause frequency-dependent group delay.This nonlinear response causes edge shifts thatdepend on the transition density within the datastream.

    Reflections can arise from imperfect transmissionline terminations, resulting in effects on a single bitthat come from the sequence of preceding bits.

    Jitter probability density functions andbit error rate

    As mentioned earlier, TJs PDF is the convolution ofits RJ and DJ components. Separating TJ into its RJ and

    DJ components is a deconvolution process.9

    Convolution and deconvolution processes both requirethe description of RJ and DJ components by mathe-matical functions rather than through simple peak-to-peak values. Because jitter is generally a stochasticprocess, PDFs can effectively characterize it. In mostpractical cases, a Gaussian distribution can character-ize RJ.3,7-9 We assume DJ is bounded; it can have a vari-ety of PDFs describing its subcomponents.

    Engineers can use the TJ PDF to estimate the BER.1

    That is, the BER is essentially the cumulative distribu-tion function (CDF) of the TJ PDFs of the left and righteye crossings over the time interval in which a bit erroroccurs. In Figure 3, the time interval of interest is that tothe right of sampling instant xs for the left eye crossingand that to the left of xs for the right eye crossing.Integrating the PDFs of both eye crossings over theirrespective time intervals produces the BER function:1

    Figure 3 illustrates the relationships between the TJ PDFand the BER function. The BER at the bottom of the g-ure is also known as a bathtub curve.

    Random jitterThe following subsections discuss the types of noise

    that cause RJ and how engineers model RJ.

    CausesRJ comes from device noise sourcesfor example,

    thermal effects and flicker.3,4 An example of devicenoise is shot noise, which is related to a transistors uc-tuation in current ow. Thermal noise is a componentof device noise. Electron scattering causes thermalnoise when electrons move through a conducting medi-um and collide with silicon atoms or impurities in thelattice. Higher temperatures result in greater atom vibra-tion and increased chances of collisions. Flicker noise,or 1/frequency noise, results from the random captureand emission of carriers from oxide interface traps,which affects carrier density in a transistor.3

    Modeling random jitterEngineers commonly model RJ by the Gaussian dis-

    tribution function

    BER x CDF x

    PDF x d x

    P

    s s

    xs

    ( ) ( )

    ( ) ( )

    = =

    +

    12

    1 Left

    DDF x d xxs

    Right( ) ( )

    Testing at MultiGbps Rates

    304 IEEE Design & Test of Computers

    xs

    xs

    PDFrightPDFleft

    0.50.5

    0 1

    Bit error rate(cumulativedistributionfunction)

    Figure 3. Obtaining the bit error rate from the total jitter

    probability density function.

  • where JRJ(x) denotes the RJ PDF, is the standard devi-ation of the Gaussian distribution, and x is the time dis-placement relative to the ideal time position. Hence, aGaussian RJ is completely specified by a single para-meterits standard deviation.

    Deterministic jitterThe next subsection discusses how system compo-

    nent interaction causes DJ, and the subsequent onespresent a model for each DJ subclass.

    CausesDJ arises from the interaction of different system com-

    ponents. Its major causes include electromagnetic inter-ference, crosstalk, signal reection, driver slew rate, skineffects, and dielectric loss.3,10 Electromagnetic interferenceis the interference from radiated or conducted energy thatcomes from other devices or systems. Such radiation caninduce currents on signal wires and power rails, and alterthe signal voltage biases or the reference voltages.

    Impedance mismatch between the cables or tracesand a terminating resistor contributes to signal reflec-tions. As a signal propagates and reaches the receiver,part of the signal energy reects back toward the trans-mitter. Its possible to estimate the percentage of reect-ed energy relative to signal energy.11

    where ZL is the load impedance, Zo is the wire impedance,and is the angular frequency of the transmitter signal.Mismatches in the terminating resistance cause electronsto literally bounce back to the transmitter. This corruptsthe succeeding bits and reduces the signal-to-noise ratio.The reected signal energy bounces back and forth untilit dissipates completely. As it bounces, it adds to the orig-inal signal out of the phase,resulting in jitter. If a sourceside termination resistor isused at the receiving endand has matching resis-tance, it will absorb thereected signal, and nodata corruption will occur.Because a reected sig-

    nals impact depends on the transmitted data pattern, wecan assume signal reection is a cause of DDJ.

    Above a certain frequency, transmitting conductorsexperience a skin effect. This is a phenomenon where-by at high frequencies conductor self-inductance caus-es the current flow to concentrate on the surface of aconducting medium. The onset frequency is a functionof the conductors cross-sectional area, impedance, andother material physical parameters.10,11 The skin effectincreases the conductors resistance because of thereduction in effective cross-sectional area and leads toincreased attenuation of a signals high-frequency con-tents. The results are longer rise and fall times, anddegraded signal amplitudes.

    Dielectric loss results from the delay of polarization inthe dielectric material when it is subject to a changingelectric eld. In an ideal lossless material, the currentleads the voltage by 90 degrees. But in real material, thedelay in polarization creates a phase lag between theexternal electric eld and the resonating molecules,which leads to a phase difference in current, thus amount-ing to power loss. Above some frequencies, dielectric loss-es dominate skin effect losses because dielectric lossesare proportional to the frequency, while skin effect lossesare proportional to the frequencys square root.10

    The frequency dependency of skin effect and dielec-tric losses makes them causes of DDJ. Attenuations dueto skin effect and dielectric losses contribute to the ver-tical closure of the signal eye. The attenuations also con-tribute to slower rise and fall rates, which reduce thehorizontal eye opening.

    The signal slew rate depends on the signal driversability to drive its load. A strong driver can provide a fastslew rate and drive higher-frequency signals. When ahigh-frequency signals driver is weak, the signal at theopposite end of the wire might not have enough time torise or fall to the desired signal high or low value. Usinga linear phase nite-length impulse response lter witha cut-off frequency of 1 GHz to emulate a driver, Figure4 illustrates the slew rate limitation when transmitting adata pattern at 3 GHz.

    %Z ZZ Z

    L

    Lreflect =

    +

    ( ) ( )( ) ( )

    %

    100

    J xx

    RJ e( )( )

    =

    1

    2

    2

    22

    305JulyAugust 2004

    1

    0

    10 1 2 3 4 5

    Time (ns)6 7 8 9 10Si

    gnal

    val

    ue (V

    )

    Figure 4. Signal driver slew rate limitation.

  • Modelingdeterministic jitter

    We assume that DJmagnitude is bounded.The following subsectionspresent a jitter model foreach DJ subclass. Wehave written a MatLabprogram that simulatessignal behavior through ahypothetical transmission

    medium modeled by a linear filter with a nonlinearphase response. For our study, the filter contributes asignal amplitude distortion, thereby simulating theamplitude noise and frequency-dependent phase delaygenerally encountered in a transmission system. For thisreason, the specic accuracy of the lter model and themodels effects on the transmission medium are not crit-ical. The filter we used in our study has a cut-off fre-quency of 2.1 GHz and rise and fall times of 0.25 ns.

    Duty-cycle distortion model. The sum of two func-tions can represent the jitter due to DCD.2

    where JDCD(x) is the DCD PDF, W is the peak-to-peak DCDmagnitude, and x is the time displacement relative to theideal time position. The two functions represent the ris-ing and falling edges of the signal. The magnitude ofeach function is 1/2 because the equation assumes thatthere are equal numbers of rising and falling transitionsin the transmitted signal. This is the typical case, forexample, with DC-balanced encoding schemes such as8b/10b encoding. This characteristic also holds for non-8b/10b encoding schemes, as long as the data patternhas sufcient transitionsthat is, no long sequences ofones or zeros. Figure 5 shows the DCD PDF.

    Figure 6 illustrates the simulation of a 2-Gbps clock-like data signal (Figure6a) passed through ourtransmission path model.The data signal has a 60%duty cycle in this case.Figure 6b shows the signalat the output of the trans-mission path model, dis-playing the effect of thatmodel on the transmitteddata pattern. Figure 6c dis-plays the eye. The his-togram, shown in Figure6d, clearly shows the jitterdistribution as two deltafunctions. This observa-tion validates our assump-tion for a DCD model.

    Intersymbol interfer-ence model. ISI dependson the transmitted bit pat-tern. With ISI, the timing ofeach edge of the transmit-ted signal depends on thebit pattern preceding thisedge, which in this articlewe refer to as the edge pat-tern. Different edge pat-terns have different

    J xx

    Wx

    W

    DCD( )

    ( ) ( )=

    ++

    22

    22

    Testing at MultiGbps Rates

    306 IEEE Design & Test of Computers

    PDF

    0.5

    x(x W/2) (x W/2)

    Figure 5. Probability density

    function for duty-cycle distortion.

    0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0

    1

    0

    1

    Time (ns)

    0 1 2 3 4 5 6 7 8 9 10

    1

    0

    1

    Time (ns)

    0 1 2 3 4 5 6 7 8 9 10

    1

    0

    1

    Time (ns)

    Time (ns)

    (a)

    (b)

    (c)

    (d)0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55 0.60No

    . of o

    ccur

    renc

    esSi

    gnal

    val

    ue (V

    )Si

    gnal

    val

    ue (V

    )Si

    gnal

    val

    ue (V

    )

    Figure 6. Example duty-cycle distortion simulation: transmitted bit pattern (a),

    transmitted pattern at the output of the transmission path model (b), eye diagram (c),

    and jitter histogram (d).

  • frequency components. Fast-changing edge patternsbehave as high-frequency signals; slow-changing edgepatterns behave as low-frequency signals. Because ofthe conductors ltering effects, different edge patternspropagate at different speeds through the conductors.This difference in propagation speeds causes bits tosmear into adjacent bits, resulting in ISI. To calculatetotal ISI, we must know the probability of occurrence ofeach edge pattern and the corresponding jitter magni-tude. We use Pi to denote the probability that given bitpattern i will occur; xi is the magnitude of the bit pat-tern, as illustrated in Figure 7. Assuming that the jittermagnitude of each distinct edge pattern remains con-stant over time (it is time invariant2), then a weightedsum of functions can represent the PDF for each edge,with the weights corresponding to the edge patternprobabilities. Thus, the following equation can expressthe jitter due to ISI:

    where JISI(x) is the ISI jitter PDF, N is the number of dis-tinct edge patterns, Pi is the probability of occurrenceof edge pattern i, xi is the jitter magnitude for the ithedge pattern, and x is the time displacement relative tothe ideal time position. Measurements can provide thejitter magnitude xi of edge pattern i.

    Figure 8 shows a repeating 7-bit pattern with four dis-tinct edge patterns, labeled a, b, c, and d. The bit-timeaxis labels t0 through t8 designate the beginning of eachbit period. To calculate Pi, its necessary to nd the totalnumber of occurrences of each edge pattern i over agiven time period. Our simulation repeatedly transmitsthe 7-bit pattern in Figure 8 and records edge shifts foreach pattern edge. In practice, the number of sampledrepetitions depends on the per-edge-shift averagingrequired to reduce RJ and PJ effects to negligible levels.

    This information lets us calculate the occurrence prob-ability of all edge patterns, which in this case is 1/4.

    Figure 9 is the simulation result for transmitting thebit pattern in Figure 8 over the same transmission pathmodel as in the previous cases. Figure 9a shows thetransmitted signal, and Figure 9b shows the signal at theoutput of the transmission channel. Figure 9b shows thedistortion introduced by the transmission path modelonto the transmitted data pattern, where the amount ofdistortion is frequency dependentthat is, dependenton the data pattern. The eye diagram in Figure 9c dis-plays ISI jitter. The simulation results illustrate four linesin Figure 9d, derived from the results shown in Figure 9b,thereby supporting our assumptions about adequatelymodeling ISI through a summation of functions.

    Periodic jitter model. PJ causes periodic deviation oftransitions from their ideal values over time, as shownin Figure 10a. The square wave represents a transmittedsignal, and the sine wave represents the periodic edgedeviations. A summation of cosine functions with dif-ferent phases and amplitudes provides a model for PJ:

    where PJTotal(t) denotes the total periodic jitter, N is thenumber of cosine components (tones), Ai is the corre-sponding amplitude, i is the corresponding angular fre-quency, t is the time, and i is the corresponding phase.The following equation describes the PDF of a single-tone PJ:12

    J xA x

    PJ( )=

    1

    0

    2 2

    PJ t A ti i i

    i

    N

    Total( ) cos( )= +

    =

    0

    J x P x xi i

    i

    N

    ISI( ) ( )=

    =

    1

    307JulyAugust 2004

    x

    x1

    P1P2

    P3P4

    x2

    PDF

    Figure 7. Intersymbol interference model

    probability density function.

    a b c d

    Bittime

    Repeatpattern

    t0 t2 t4 t6 t8

    Figure 8. Four distinct edge patterns in a 7-bit pattern.

  • where A is the amplitude ofthe PJ sinusoidal compo-nent and x is the time dis-placement relative to theideal position. Lets assumethere is only PJ in the sig-nal. The resulting jitter PDFwill then have a concaveshape because there willbe a higher proportion ofsamples having jitter mag-nitudes closer to the sinu-soidal peaks than thosewith smaller jitter magni-tudes. Measurements candetermine the jitter fre-quencies and phasesforexample, from Fouriertransformation with peakdetection on edge dataacquired using a fast real-time oscilloscope.13 ThePDF in Figure 10b is for asingle-tone PJ. If the fre-quencies of the cosinecomponents for a multi-tone PJ are not harmoni-cally related to each other,its possible to estimate thetotal PJ PDF by convolvingthe PDFs of individualcomponents.

    Figure 11 illustrates PJs effect on an eye diagram.Figure 11a shows a clocklike data pattern with a 50%duty cycle, assumed to be transmitted at 2 Gbps overthe same transmission path model used in previous sim-ulations. Figure 11b shows the signal at the transmissionpath models output. We set the PJ peak amplitude to100 ps. Figure 11c clearly shows the eye closure causedby PJ. Figure 11d illustrates that the histogram corre-sponds to the PJ PDF, which is as expected from themodel, as in Figure 10. Thus, our simulation results rein-force our PJ model assumptions.

    Total jitterWe presented RJ and DJ as separate jitter compo-

    nents. In actuality, however, jitter doesnt exist as sepa-rate entities but rather as a combination of different jittercomponents resulting in TJ. In the time domain, TJ issimply the sum of its RJ and DJ components. However,

    Testing at MultiGbps Rates

    308 IEEE Design & Test of Computers

    0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0

    1

    0

    1

    Time (ns)

    0 1 2 3 4 5 6 7 8 9 10

    1

    0

    1

    Time (ns)

    0 1 2 3 4 5 6 7 8 9 10

    1

    0

    1

    Time (ns)

    0Time (ns)

    (a)

    (b)

    (c)

    (d)0.245 0.250 0.255 0.260 0.265 0.270 0.275 0.280

    Sign

    al v

    alue

    (V)

    Sign

    al v

    alue

    (V)

    Sign

    al v

    alue

    (V)

    No.

    of o

    ccur

    renc

    es

    Figure 9. Example intersymbol interference simulation: transmitted bit pattern (a),

    transmitted pattern at the output of the transmission path model (b), eye diagram (c),

    and jitter histogram (d).

    (a)

    (b)

    tTransmitted

    signal PJ(t)

    AA

    AA

    t1/A

    PDF

    Figure 10. Illustration of periodic jitter (a) and the

    periodic jitter probability density function (b).

  • when a PDF describes TJ,the TJ PDF is the convolu-tion of its RJ and DJ com-ponents PDFs.

    TJPDF = RJPDF * DJPDF

    where * denotes convolu-tion.

    Figure 12 shows simula-tion results from variouscombinations of jitter com-ponents. We assume atransmission rate of 2 Gbpsas well as the same trans-mission path model usedin the previous simulations.Figure 12a shows the com-bined result of PJ and DCD.The injected PJ has anamplitude of 40 ps and afrequency of 5 MHz, whilethe DCD component has a70% duty cycle. A clocklikesignal inhibits ISI jitter andRJ. The histogram Figure12a exhibits two apparentconcave curves that indi-cate the convolution of thePJ PDF and the DCD PDF.The 40-ps PJ amplitude is obvious from the gure as well.

    We derive Figure 12b by injecting RJ and PJ onto aclocklike data pattern with no DCD and assuming thesame transmission channel as in all other cases. In thiscase, RJ has an amplitude of 10 ps, and we set the PJmagnitude to 100 ps. The RJ PDF convoluted with thePJ PDF will theoretically result in a double-peaked TJPDF. This histogram clearly illustrates the RJ curves atits outlying portions and the concave curve character-istic of the PJ PDF in its center portion.

    Figure 12c is the histogram that results from com-bining RJ and DCD only. RJ, in this case, has an RMSvalue of 20 ps, and DCD has a 70% duty cycle. The g-ure also shows the convolution of the RJ PDF with theDCD PDF. Figure 12d is the combined result of RJ, PJ,and DCD. The transmitted pattern in this case is a clock-like signal. The histograms in Figure 12 demonstrate thecapabilities of our jitter models in capturing the char-acteristics of TJ PDFs.

    Jitter model design implicationsJitter models break down jitter to its subcomponents

    for better estimation of jitter impact on an I/O links BERperformance. These models also have design implica-tions because different jitter components correlate withdifferent sources in devices and systems. For example,device noise arises when active devices in the transmit-ter or receiver impact the unbounded RJ. Design tech-niques to limit RJ include increasing output stagecurrents, reducing the number of stages from samplingor source clocks to samplers, and using narrow-bandphase-locked loops (PLLs) to reduce power supply noise.

    PJ also has model design implications. PJ typicallyresults from coupling different clock sources to themain transmitting or receiving block. One way to dealwith PJ is to route or move clock sources away from sen-sitive circuit parts. Shielding and power-supply bypassare extremely important for combating PJ.

    Not all PJ components affect link performance in the

    309JulyAugust 2004

    0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0

    1

    0

    1

    Time (ns)

    0 1 2 3 4 5 6 7 8 9 10

    1

    0

    1

    Time (ns)

    0 1 2 3 4 5 6 7 8 9 10

    1

    0

    1

    Time (ns)

    Time (ns)0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55

    (a)

    (b)

    (c)

    (d)

    Sign

    al v

    alue

    (V)

    Sign

    al v

    alue

    (V)

    Sign

    al v

    alue

    (V)

    No.

    of o

    ccur

    renc

    es

    Figure 11. Example periodic jitter simulation: transmitted bit pattern (a), transmitted

    pattern at the output of the transmission path model (b), eye diagram (c), and jitter

    histogram (d).

  • same way. Therefore, a golden PLL can replace thereceiver clock recovery circuit. This permits synthesisof the jitter timing reference from the data stream, asFigure 13 shows, and the PLL can serve as the timing ref-erence for the measurement instrument.

    Because the golden PLL extracts, or rejects, the low-frequency PJ, its effect on jitter modeling is to reducethe importance of the low-frequency PJ components injitter characterization. Failure to implement the low-fre-quency tracking function in the golden PLL results ingreater signal degradation because of the otherwisetrackable low-frequency jitters in the data stream.2

    Two additional design implications for jitter modelsconcern BUJ and DDJ. BUJ comes from crosstalk. Goodisolation techniques, such as shielding and power-sup-ply bypass, can reduce this type of jitter. DDJ is a mainsource of jitter in systems and must be minimizedbecause its frequency contents often lie in the high-fre-

    quency range that cannotbe tracked by the receiverPLL.

    There are multiplestrategies for reducingDDJ:

    Use proper termina-tions and avoid dis-continuities to reducereections.

    Balance the rise andfall time of the driversto reduce DCD. Somedevices have program-mable rise and falltimes, thereby allowingadjustments to makethese times matcheach other.

    Use transmission pathswith very high band-width and highly linearphase response. How-ever, such paths are amajor constraint inmany systems; there-fore, using pre-emphasis in transmit-ters and equalizationin receivers can com-pensate for the trans-

    mission paths nonideal frequency response. Thesetechniques in particular can drastically reduce DDJand improve link performance.

    Jitter measurement methods andapplications

    Although designers can ignore certain jitter compo-nents in some applications, they cannot ignore most jit-ter components, which therefore require carefulmeasurement and characterization. Many jitter mea-surement methodologies are in use or have been pro-posed in the literature. The various methods use timeinterval analyzers (TIAs), oscilloscopes, and bit-error-rate testers (BERTs). Describing all such methods isbeyond the scope of this article. Table 1, however, listssome key jitter model characteristics that help in mea-suring jitter components, thereby illustrating the appli-cation of jitter models in test and measurement. We

    Testing at MultiGbps Rates

    310 IEEE Design & Test of Computers

    Time (ns)

    Time (ns)

    Time (ns)

    Time (ns)

    (a)

    (b)

    (c)

    (d) 0.1 0.2 0.3 0.4 0.5 0.6

    0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55

    0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55

    0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50

    No.

    of o

    ccur

    renc

    esN

    o. o

    f occ

    urre

    nces

    No.

    of o

    ccur

    renc

    esN

    o. o

    f occ

    urre

    nces

    Figure 12. Example total jitter simulations: duty-cycle distortion and periodic jitter (a),

    random jitter and periodic jitter (b), random jitter and duty-cycle distortion (c), and

    random jitter, periodic jitter, and duty-cycle distortion (d).

  • present these jitter measurement methods to show howthey use jitter models in general. Interested readers canseek out the relevant references for more information.

    There are several RJ measurement methods.Assuming the signal is a simple clocklike pattern andthere are no DJ components, engineers can estimate RJfrom captured histograms. In the presence of other jittercomponents or when the transmission is a non-clocklikedata pattern, engineers need other methods for measur-ing RJ. One such method entails curve-tting algorithms.Because a jitter histograms tails contain Gaussian RJcomponents even when in the presence of DJ, curve-t-ting algorithms try to nd the best Gaussian t to the tailregions. The standard deviation of the matched Gaussiandistribution provides the RJ estimate.2

    Another method for measuring RJ requires a spectralanalysis, which uses a Fourier transform of the captureddata to reveal the spectral content of the jitter signal.Because RJ is stochastic, it appears on the spectralgraph as a small-amplitude noise floor across all fre-quencies. The noise floors RMS value is the RJ RMSvalue.13 With BERT measurements, RJ can be calculat-ed using the slope of the BER bathtub curves; these

    curves represent the jitters cumulative distribution func-tion. However, such jitter estimates based on BERT mea-surements tend to overstate RJ.6

    Transmission of a clocklike data pattern permits directmeasurement of DCD by measuring the periods of logichigh and logic low. ISI doesnt exist in this case, and RJcan be averaged out with a large number of samples.Using the same clocklike data pattern lets us estimatethe peak-to-peak PJ on the histogram. The histogram,captured by an oscilloscope or a TIA, contains both RJand PJ components. Because the tail portions are the RJ

    311JulyAugust 2004

    Data

    GoldenPLL

    Data in

    TriggerMeasurement

    instrument

    Figure 13. Golden phase-locked loop in the jitter

    measurement setup. Possible measurement

    instruments include bit-error-rate testers and

    oscilloscopes.

    Table 1. Measurement methods and equipment.

    Jitter type Model properties Measurement methods Equipment

    Random jitter Gaussian distribution Time interval error (TIE) Real-time sampling measurement and PDF or oscilloscope, TIAhistogram tail t

    BER bathtub curve BERTRandom nature Frequency domain Spectrum analyzer(any distribution) TIE measurement and Real-time sampling

    frequency domain analysis oscilloscope, TIAUndersampled TIE Oscilloscope, TIA

    measurement and frequency domain analysis

    Data-dependent jitter Discrete lines in PDF TIE measurement, histogram Real-time sampling oscilloscope, BERT

    Deterministic TIE variation TIE measurement with edge Real-time sampling from edge to edge lock method and averaging in oscilloscope, TIA

    the time domainRepetitive nature when

    the pattern is repeated TIE measurement and Real-time sampling oscilloscopefrequency domain analysis

    Periodic jitter PDF or histogram shape TIE or time interval histogram Real-time sampling oscilloscopePeriodic nature TIE measurement and Oscilloscope, TIA

    autocorrelation estimation method

  • components, simply measuring the peak-to-peak sepa-ration in the histogram provides a PJ estimate.6

    Its possible to measure ISI jitter by transmitting a datapattern containing both long and short bit runs. The idealtiming event for the ith edge in the pattern relative to areference edge would occur at n UI, while an actualtiming event can contain deviations expressed as n UI+ Xi, where Xi denotes the displacement of the ith edge.Devices such as a TIA, which can accurately measure thetime between two timing events, let us measure Xi foreach edge. The measured Xi values contain random andperiodic components, which averaging can remove. Thedistribution of averaged Xi is the ISI PDF. The need for arepeating pattern limits the use of this method.

    Spectral analysis offers another way to measure PJ,DCD, and ISI. Because a PJ component has xed-fre-quency components, it will appear in the spectral graphas a large-magnitude peak. An inverse Fourier transformlets us compute the PJ magnitude after isolating it from allother jitter components in the spectral graph. BecauseDCD and ISI are pattern dependent, they must appear inthe spectral graph at multiples of 0.5/N, where N is the datapattern length.13 The application note in the previous cita-tion describes a method that amounts to rst performingan inverse transform of the combined components, thenconstructing one histogram for each of the rising andfalling edges. The difference between the two histogramsmean values is the DCD, while the difference between thehistograms peak-to-peak values corresponds to the ISI.These measurement methods let us specify jitter PDFsaccording to the models we presented earlier. TJ for theserial communication system under measurement is thena convolution of all the jitter PDFs.

    Jitter measurement instruments have characteristicsthat make some instruments better for certain types ofapplications. A fast real-time sampling oscilloscopeacquires as many samples of a signal as possible in onepass and interpolates to reconstruct the signal waveformfor display. In such cases, we can recover the clockusing a golden clock data recovery (CDR) circuit, work-ing on the signal bitstream. Comparing the recoveredclock with the acquired data determines each edgestiming error. Spectral analysis then uses the resulting setof error values.2

    A real-time oscilloscope can also construct a wave-form eye diagram and t waveform eye masks. Anothertype of oscilloscope, the equivalent-time sampling oscil-loscope, acquires signal samples in many passes andreconstructs the signal waveform by overlaying differ-ent samples captured over the multiple passes.2 This

    type of oscilloscope provides very low intrinsic jitter,which is helpful for measuring RJ accurately. It also pro-vides the highest front-end bandwidth available intodays instruments, which minimizes the instrumentimpact on DDJ measurement accuracy. The equivalent-time sampling oscilloscope, however, requires a repeat-ing signal pattern and a triggering signal to control thesampling process. This oscilloscope can measure sig-nals running at frequencies higher than its sample ratebut has the disadvantage of low acquisition speed anddifculty in acquiring noncoherent noises. An equiva-lent-time oscilloscope can also construct waveform eyediagrams. Unlike real-time oscilloscopes, equivalent-time oscilloscopes suffer from trigger jitter because theyuse multiple triggers.2

    A TIA can operate with or without a clock (generat-ed from a golden PLL) or a pattern marker. Rather thanextrapolating acquired signal samples to get the timinginformation, a TIA uses many single-shot edge-to-edgetime measurements. Engineers can perform spectralanalysis on the TIA-acquired data set. Using a TIA is fastbecause it collects only edge-timing data that carries jit-ter information.

    A BERT measures a signals BER at a certain point inthe transmission link, and it should be clocked by agolden CDR circuit driven by the signal under test. ABERT varies the sampling instant with respect to theclock edges over the entire bit time and measures theBER. The resulting plot of BER versus time (a bathtubplot) provides a direct measurement of TJ. A longermeasurement time yields a lower BER. However, theapparent constraint on test time limits the BERs achiev-able in practice. Some curve extrapolation techniquesuse statistical jitter models to extend the measured BERto lower values without incurring unfeasible test times.There are methods that use jitter models to separate theRJ and DJ components from the bathtub curve.6

    THE RAPIDLY GROWING POPULARITY of Gbps-speedserial I/O interconnects such as PCI-Express in elec-tronic devices and systems makes jitter analysis and jit-ter modeling increasingly important in reducing testtime and cost. The University of British Columbias SoCLab is using the jitter models presented here in ongoingresearch on jitterin particular for building jitterdecomposition algorithms. Further research will helpus understand the behavior of BUJ and develop mod-els that can represent its behavior and impact on sys-tem performance.

    Testing at MultiGbps Rates

    312 IEEE Design & Test of Computers

  • 313JulyAugust 2004

    AcknowledgmentsWe thank the reviewers for their valuable comments

    and suggestions and extend our very special thanks toReviewer 2 and Reviewer 4 for the extremely detailedand constructive review. We also acknowledgeUniversity of British Columbia SoC Lab membersA.K.M. Kamruzzaman Mollah and Roberto Rosales fortheir valuable discussions and suggestions.

    References1. M. Li and J. Wilstrup, Paradigm Shift for Jitter and Noise

    in Design and Test > 1Gb/s Communication Systems,Proc. Intl Conf. Computer Design (ICCD 03), IEEE CSPress, 2003, pp. 467-472.

    2. Secretariat Intl Committee for Information TechnologyStandardization (INCITS), T11.2/Project 1316 DT/Rev10.0, Fiber ChannelMethodology for Jitter and SignalQuality Specication-MJSQ, Mar. 2003.

    3. J. Patrin and M. Li, Comparison and Correlation of Sig-nal Integrity Measurement Techniques, DesignCon2002; http://www.wavecrest.com/technical/pdf/Designcon2002.PDF.

    4. Jitter Analysis Techniques for High Data Rates, AgilentTechnology, application note 1432, Feb. 2003.

    5. Y. Cai, B. Laquai, and K. Luehman, Jitter Testing forGigabit Serial Communication Transceivers, IEEEDesign & Test of Computers, vol. 9, no. 1, Jan. 2002, pp.66-74.

    6. Y. Cai et al., Jitter Testing for Multi-Gigabit BackplaneSerDes, Proc. Intl Test Conf. (ITC 02), IEEE CS Press,2002, pp. 700-710.

    7. Understanding Jitter, Wavecrest Corp., applicationnote, 2001; http://www.wavecrest.com/technical/VISI_6_Getting_Started_Guides/6understanding.PDF.

    8. Jitter in Digital Communication Systems, Part 1,Maxim Integrated Products, application note HFAN-04.0.3, Rev0, Sept. 2001.

    9. J. Sun, M. Lee, and J. Wilstrup, A Demonstration ofDeterministic Jitter (DJ) Deconvolution, Proc. 19th IEEEInstrumentation and Measurement Technology Conf.(IMTC 02), IEEE Press, 2002, pp. 293-298.

    10. H.W. Johnson and M. Graham, High-Speed Signal Prop-agation: Advanced Black Magic, Prentice Hall, 2003.

    11. H.W. Johnson and M. Graham, High-Speed DigitalDesign: A Handbook of Black Magic, Prentice Hall, 1993.

    12. A. Papoulis and S.U. Pillai, Probability, RandomVariables and Stochastic Processes, McGraw-Hill, 2002.

    13. Understanding and Characterizing Timing Jitter, Tek-tronix application note 55W-16146-0, Sept. 2002.

    Nelson Ou is an ASIC design engi-neer with VIA Optical Solutions in Tai-wan and a former member of the SoCresearch group at the University ofBritish Columbia. His research inter-

    ests include jitter measurement, SoC design method-ologies, and DFT. He has a BS in applied science andan ME in electrical and computer engineering from theUniversity of British Columbia.

    Touraj Farahmand is a researchengineer at the University of BritishColumbias SoC Lab. His researchinterests include high-speed signaltiming measurement, signal process-

    ing, jitter measurement, and serial communication andcontrol. Farahmand has a BS in electrical engineeringfrom Esfahan University of Technology, Esfahan, Iran,and an MS in control engineering from Sharif Univer-sity of Technology, Tehran, Iran.

    Andy Kuo is a master of applied sci-ence student at the University ofBritish Columbias SoC Lab. Hisresearch interests include high-speedsignal integrity issues, jitter measure-

    ment, serial communications, and design for testabil-ity. Kuo has a BA in computer engineering from theUniversity of Toronto.

    Sassan Tabatabaei is the chiefscientist at Guide Technology andcofounder of Vector12 Corp., where hewas chief technical officer. His profes-sional and research interests involve

    mixed-signal design and test, including signal integri-ty and jitter measurement and test methodologies forserial interfaces. Tabatabaei has a PhD in electricalengineering from the University of British Columbia.

    The biography of Andr Ivanov appears on p. 276of this issue.

    Direct questions and comments about this articleto Andy Kuo, SoC Research Group, University ofBritish Columbia, 2356 Main Mall, Vancouver, BC, V6T1Z4, Canada; [email protected].