INSTITUTE FOR MATERIALS RESEARCHimr.osu.edu/files/2009/04/IMR-FY-2012-Annual-Report.Final_-1.pdf ·...
Transcript of INSTITUTE FOR MATERIALS RESEARCHimr.osu.edu/files/2009/04/IMR-FY-2012-Annual-Report.Final_-1.pdf ·...
CHARACTERIZATION
SYNTHESIS ENERGY ORGANICS OXIDES
BIOLOGICAL POLYMERS MAGNETIC TECHNOLOGY
SCIENCE
PROCESSING
TECHNOLOGY DEVICES
ENVIRONMENTAL
ENGINEERING
STRUCTURE
CHARACTERIZATION
COMPUTATION
ELECTRONICSSENSORS
MANUFACTURING
MULTIFUNCTIONAL
SYNTHESISINTERDISCIPLINARY
FISCAL YEAR 2011–2012 ANNUAL REPORT
INSTITUTE FOR MATERIALS RESEARCHTHE GATEWAY TO MATERIALS RESEARCH AT THE OHIO STATE UNIVERSITY
The Ohio State University Institute for Materials Research
Fiscal Year 2011–2012
Annual Report
Steven A. Ringel, Director
Layla M. Manganaro, Program Manager Angela M. Dockery, Business Manager
For more information of additional print copies of this report, contact: The Ohio State University Institute for Materials Research Administrative Offices Room E337 Scott Laboratory 201 West 10th Avenue Columbus, Ohio 43210 Imr.osu.edu
© 2012. All rights reserved.
TABLE OF CONTENTS
Introduction and Fiscal Year 2012 Highlights…………………………………………………………………………………..1
Overview of the Institute for Materials Research…………………………………………………………………………….2
IMR Members and the OSU Materials Community – By the Numbers……………………………….2
IMR Organizational Structure……………………………………………………………………………………………..4
IMR-Supported Research Centers and Select Projects……………………………………………………………………..7
Center for Emergent Materials (CEM) – National Science Foundation Materials Research Science and Engineering Center (MRSEC)…………………………………………….7
Center for Affordable Nanoengineering of Polymer Biomedical Devices (CANPBD) – National Science Foundation Nanoscale Science and Engineering Center (NSEC)……………..14
Research Scholars Cluster on Technology-Enabling and Emergent Materials (TEEM) – Ohio Department of Development Ohio Research Scholars Program Award……………………………………………………………………………23
Wright Center for Photovoltaics Innovation and Commercialization (PVIC) – Ohio Department of Development Wright Center…………………………………………………………….31
MRI: Acquisition of a Hybrid Diamond/III-N Synthesis Cluster Tool – National Science Foundation Materials Research Instrumentation Award………………………..36
Industry Collaborations and Partnerships………………………………………………………………………………………39
Current National Science Foundation Industry/University Cooperative Research Centers (I/UCRCs) Involving IMR Members………………………………………………………..40
TABLE OF CONTENTS
Ohio Third Frontier Funding………………………………………………………………………………………………43
Center for the Accelerated Maturation of Materials (CAMM)…………………………………………..44
Advancing Sustainability Research: Innovative Partnerships for Actionable Solutions - Alcoa Foundation Award…………………………………….45
The Ohio Manufacturing Institute…………………………………………………………………………………….50
Center for Emergent Materials (CEM) Industry Activities………………………………………………….53
International Collaborations…………………………………………………………………………………………………………55
Universidad Politécnica de Madrid……………………………………………………………………………………55
The CEM International Material Alliance (IMRA)……………………………………………………………….56
IMR Research Enhancement Program……………………………………………………………………………………………58
OSU Materials Research Seed Grant Program…………………………………………………………………..59
IMR Facility Grants……………………………………………………………………………………………………………65
IMR Industry Challenge Grants………………………………………………………………………………………….66
Major Core Materials Research Facilities’ Updates………………………………………………………………………..67
Nanotech West Laboratory……………………………………………………………………………………………….67
NanoSystems Laboratory (NSL)…………………………………………………………………………………………72
Center for Chemical and Biophysical Dynamics (CCBD)…………………………………………………….75
Outreach and Engagement Activities…………………………………………………………………………………………….78
TABLE OF CONTENTS
2011 OSU Materials Week Conference……………………………………………………………………………..78
2011-2012 IMR Colloquia Series……………………………………………………………………………………….80
Other IMR-Supported Seminars………………………………………………………………………………………..82
Faculty and Student Outreach and Engagement Activities………………………………………………..84
IMR Quarterly Newsletter…………………………………………………………………………………………………89
Financial Report…………………………………………………………………………………………………………………………….91
APPENDICES
Appendices…………………………………………………………………………………………………………………………………...93
Appendix A: Members of the Institute for Materials Research (IMR) as of July 2012………………………………………………………………………………………………………………….94 Appendix B: Research Outputs from OSU Materials Community Directly Resulting from IMR Resources and Activities………………………………………………………………….100 Appendix C: Activities of Members of Technical Staff (MTS) For Fiscal Year 2011 -2012………………………………………………………………………………………………117 Appendix D: 2011 – 2012 IMR Facility Grants Awards……………………………………………………129
The 2012 fiscal year saw great progress for The Ohio State University Institute for Materials Research
(IMR) and its multi‐college membership at all levels. Our breadth and depth has never been stronger,
and this is measured by many factors, most of which are described in this year’s report. For example,
this past year saw the groundbreaking of our new Center for Electron Microscopy and Analysis
(CEMAS) located in Ohio State’s west campus research park, which will be directed by one of IMR’s
recent Ohio Research Scholar faculty recruits. CEMAS is due to open in 2013 and will be one of the
most significant electron microscopy centers of excellence world‐wide. Our faculty members and their
groups are publishing high impact journal articles and getting cited at a pace that continues to
accelerate and the cutting edge qualities of the efforts are being noticed, with one of our faculty
groups landing the cover of the journal Nature, highlighting research seeded by an IMR grant. Our
Centers of Excellence are producing world‐leading results, with the Center for Emergent Materials
(CEM), an NSF MRSEC, and the Center for Affordable Nanoengineering of Polymeric Biomedical Devices
(CANPBD), an NSF NSEC, continuing their leading presence.
In terms of industrially‐centered research and development, our Wright Center for Photovoltaics
Innovation and Commercialization (PVIC) successfully transitioned from its initial government funding
into a sustained, industry‐supported mode. IMR members have netted three NSF‐supported Industry/
University Cooperative Research Centers (I/UCRCs) and are a dominant force in capturing state‐
supported research to spur commercial advances, from new materials for bioproducts and solar energy
to teraherz imaging and instrumentation development. The sustainable, lightweight materials
manufacturing initiative, started by funding from the Alcoa Foundation, has made extraordinary
progress in its first year. In terms of outreach, several of our members, notably through CEM, CANPBD
and also through wide‐ranging individual efforts, have created innovative pathways for educating the
younger generation and their teachers alike. IMR‐supported and managed core research facilities
continue to add to their existing strengths, with several new capabilities acquired and large increases in
our base of users at each facility.
In addition, last year saw the first cycle of our new, integrated seed grant program that spans individual
exploratory to team‐oriented research. The OSU Materials Research Seed Grant Program awarded 7
new grants totaling $480,000 in direct research support to 15 researchers from seven departments.
The 4th annual OSU Materials Week conference was held in September of 2011, our largest with more
than 450 attendees, in which we highlighted industry‐OSU interactions in materials R&D. Certainly not
least, the sponsored projects expenditures during FY2012 by IMR’s 162 faculty members exceeded
$61.4 million
This annual report provides more detail into many of these activities and accomplishments, and in
general summarizes progress and current status of the IMR within its broad mission to advance and
support the University’s materials‐allied enterprise.
INTRODUCTION AND FISCAL YEAR 2012 HIGHIGHTS
IMR Fiscal Year 2011 -2012 Annual Report
Page 1
The Ohio State University Institute for Materials Research (IMR) is an interdisciplinary organization
established in 2006 with the purpose of facilitating, promoting and coordinating research activities and
infrastructure related to the science and engineering of materials throughout the University. As a unit
of the OSU Office of Research directly reporting to the OSU Senior Vice President of Research, IMR
serves as the gateway to the multi‐college materials research enterprise at The Ohio State University.
IMR VISION: A multidisciplinary research institute that propels OSU to the recognized
international forefront of materials‐allied research and scholarship
IMR MISSION: To nurture, grow and support research groups leading to small, large and center‐
level awards; to provide strategic planning, resources, infrastructure, and educational/outreach
activities; to coordinate, support and assist with management of campus‐wide materials‐allied
research and related resources
In 2005, a Materials Vision Committee of 13 OSU faculty from a broad range of departments involved
in materials research from the Colleges of Engineering, Math & Physical Sciences and Medicine was
formed by the OSU Senior Vice President for Research to develop a compelling and strategic vision for
materials‐allied research at OSU. This Committee’s mission was to assess OSU’s materials community
and its activities and make recommendations designed to propel OSU to worldwide leadership in
materials research. In September 2005, the Materials Vision Committee submitted its report, and
based on critical assessments of the status, assets, needs and unique strengths of materials research
across the University with respect to international trends and future opportunities, the Committee
recommended formation of a strong and vibrant Institute for Materials Research (IMR).
The OSU materials community is made up of a diverse and distinguished group of faculty researchers
that continues to grow in reputation, impact and size. The 162 faculty members of the materials
community at Ohio State include 9 National Academy members, 19 Ohio Research Scholars, Ohio
Eminent Scholars, and Distinguished University Professors, 18 endowed chairs and named
professorships, and dozens of Fellows of various professional associations such as AAAS, IEEE, APS, ACS
and MRS. OSU’s materials community includes faculty members and professional research staff
representing 20 departments and 6 colleges ‐ the Colleges of Engineering; Arts and Sciences (Division
of Natural and Mathematical Sciences); Food, Agricultural and Environmental Sciences; Medicine;
Pharmacy; and Veterinary Medicine. A Google Scholar literature search of IMR members’ publications
found that these 162 faculty members authored 1,606 publications, or 9.91 papers per faculty member
OVERVIEW OF THE INSTITUTE FOR MATERIALS RESEARCH
IMR MEMBERS AND THE OSU MATERIALS COMMUNITY—BY THE NUMBERS
IMR Fiscal Year 2011 -2012 Annual Report
Page 2
during Fiscal Year 2012 (July 1, 2011 – June 30, 2012). Additionally, an ISI literature search indicated
that those 162 faculty members’ publications also received 33,168 citations during that same 12‐
month period, for an average of 204.7 total citations per faculty member that year.
The Ohio State University Office of Sponsored Programs tabulated the research activities of the OSU
materials community and found that during the 12‐month period of July 1, 2011 – June 30, 2012 (OSU
Fiscal Year 12), the externally sponsored research expenditures of IMR members totaled $61,401,636.
The IMR is structured to broadly support and advance this large and multidisciplinary community. The
diagram in Figure 1 shows how IMR interfaces and interacts with all facets of the materials community.
Figure 1. The interface between IMR and the OSU materials community, showing some of the organizational structure of IMR and how it serves as an umbrella organization with resources available to research centers, groups, and individuals.
IMR Fiscal Year 2011 -2012 Annual Report
Page 3
The Institute for Materials Research reports to an Executive Committee made up of Ohio State leaders
from the three units of the university that provide direct operational funding for IMR: OSU’s Office of
Research, College of Engineering, and the Division of Natural and Mathematical Sciences of the College
of Arts and Sciences. This committee meets regularly each year with the IMR Director to review IMR
activities, finances, and future plans, and in turn provides oversight and guidance regarding IMR’s
strategic planning and ensures that IMR activities are aligned with college priorities in materials and
are in the best interests of the colleges supporting IMR. The balance in this committee between
equivalent financial stakeholders is critical and has allowed IMR to assist in creating unique college‐to‐
college interactions that leverages the strengths of each.
IMR is also advised by an External Advisory Board (EAB) charged with providing IMR leadership with
non‐OSU perspectives and experience‐driven advice from other universities, industry and federal
laboratories, to help ensure the success and relevance of IMR activities moving forward. An important
goal for the EAB is to assist IMR in maximizing its impact and to enhance its collaborations with
partners from the industrial and non‐profit sectors, including federal laboratories, by providing advice
on both technical directions and mechanisms for interactions with external organizations. The EAB
meets annually with IMR leadership to review and discuss IMR research activities, directions, facilities
and programs and provide a written assessment and recommendations for future success. IMR’s
External Advisory Board members and their affiliations are listed in the organizational chart in Figure 2.
Daily operations at IMR are overseen by IMR Director Dr. Steven A. Ringel, who has served as the
Director of IMR since its inception. Dr. Ringel is a Professor in the Department of Electrical and
Computer Engineering, where he also holds the Neal A. Smith Endowed Chair in Electrical Engineering.
He also holds courtesy appointments as a Professor of Physics and a Professor of Materials Science and
Engineering. Dr. Ringel’s research program is internationally recognized and is focused on electronic
materials, devices, photovoltaics and defect science with a particular interest in integrating basic
science and engineering issues to create new device technologies. The IMR Director is appointed by
the Vice President for Research, with the advice and recommendation of the Executive Committee, and
serves 50% of his time as the chief administrative officer of the IMR. He is responsible for the external
and internal leadership, vision, overall direction, general welfare and progress of the IMR. The Director
is also responsible for the accomplishment of IMR’s programs, financing and staffing, and serves as the
linkage for the IMR community to OSU central administration, and to state and federal government
and external agencies as may be appropriate.
IMR’s three Associate Directors ‐ Malcolm Chisholm, Ph.D., Robert J. Davis, Ph.D., Michael Mills, Ph.D. ‐
represent much of the core OSU materials community, with one Associate Director with a home
department in the College of Engineering, one Associate Director with a home department in the
Division of Natural and Mathematical Sciences of the College of Arts and Sciences, and a third
IMR ORGANIZATIONAL STRUCTURE
IMR Fiscal Year 2011 -2012 Annual Report
Page 4
Associate Director who represents leadership from OSU’s materials‐allied research facilities on our
west campus research park, emphasizing core facilities and industry interactions. Each Associate
Director assists with the leadership and planning of the IMR’s activities and directions, and serves as a
formal liaison between his/her college or unit constituency and the IMR. The Associate Directors more
specifically help to plan and participate in major IMR events and coordinate and review IMR Members
of Technical Staff. They meet with the IMR Director to consult with and provide advice regarding
strategic decisions that include research priorities, facility planning, modifying and proposing new
plans, and related issues. They create and recommend review processes regarding allocation decisions
to the Director for funding of programs and support of technical staff through its Research
Enhancement Program.
The IMR has a lean but very effective administrative staff, comprised of a Program Manager and a
Business Manager. IMR administrative staff is responsible not only for the entire financial
administration of IMR and major externally funded research programs, but also has key leadership
within the Institute for activities such as proposal development, management of our large internal
research funding program, annual Materials Week conference, marketing and communications, and
seminar series. The staff also maintains oversight responsibility for the IMR Nanotech West
Laboratory, which formally operates as an organization within IMR. In addition, the IMR employs
several undergraduate students to provide a wide range of support services including conference and
seminar support, clerical duties, driving our shuttle van, and providing lab support to the IMR Members
of Technical Staff who are located in IMR‐supported core facilities throughout campus.
Our organization also employs IMR Members of Technical Staff (MTS), highly skilled technical experts,
research engineers and scientists whose primary function is to enable world‐class research within our
core, multi‐user facilities. Their responsibilities include maintaining facilities at peak operating
conditions, coordinating between materials user facilities across campus, enabling facility access,
providing training and generally being available to assist and, in certain cases, lead research programs.
Importantly, the Members of Technical Staff are assigned to one primary facility and provide a human
interface to enable a network between the many materials facilities and laboratories across colleges.
IMR Members of Technical Staff are a major part of the fabric that enables cross‐disciplinary research,
assisting in the avoidance of redundant lab development and at the same time providing engineering
and scientific support on any number of projects. Generally speaking, MTS employees serve as
laboratory coordinators to enable access by researchers not only from OSU but also from outside the
university. In addition to dealing with all aspects of maintaining complex instrumentation, including
scheduling, data management and financial responsibilities, MTS employees are encouraged to
develop research programs and contracts depending upon their own level of expertise and education.
Departments or centers receiving an IMR MTS to support their activities execute a Memorandum of
Understanding with IMR. The MOU details specifics of the agreement regarding MTS supervision,
salary support, and expectations for the arrangement. Success metrics are jointly agreed upon
IMR Fiscal Year 2011 -2012 Annual Report
Page 5
between the faculty member or senior staff member in charge of the particular facility and the MTS,
with approval by the IMR Director. MTS may be reassigned by the IMR Director in consultation with
the Associate Directors to another research area based on university demands, needs and history of
performance. It is understood that any facility that is supported by an MTS must become itself an
“earnings” center so that the facility can be accessible to users throughout the IMR community,
irrespective of home department, via a fee‐for‐use model. We currently have four Members of
Technical Staff with primary facility responsibilities at the Nanotech West Laboratory (Ms. Aimee
Price – nanolithography and Dr. John Carlin – MOCVD and processing), the ENCOMM
NanoSystems Laboratory (Dr. Denis Pelekhov – magnetoelectronics), and the Center for
Chemical and Biophysical Dynamics (Dr. Evgeny Danilov – fast optical spectroscopies). A fifth
MTS position, currently unfilled, was committed this year to the fledgling Center for Electron
Microscopy and Analysis (CEMAS), which is a new core facility that is part of IMR’s Ohio
Research Scholar program award (see the Research Scholars Cluster on Technology‐Enabling
and Emergent Materials section later in this report for more information).
This organizational structure was created by the original vision committee, and has proved to
be an effective way to obtain a wide range of guidance from university, industry, and national
laboratory leadership. Figure 2 shows the organization chart depicting the placement and role
of these committees, their memberships, and formal reporting line.
Figure 2. IMR organizational chart and formal reporting lines as of July 1, 2012.
IMR Fiscal Year 2011 -2012 Annual Report
Page 6
The OSU Institute for Materials Research is involved with all aspects of the lifecycle of externally
supported research centers and other block grants within the Ohio State materials community. This
includes continuous strategic proposal development, support of subsequently funded and pre‐existing
centers, support and guidance for renewal of centers and planning for sun‐setting of centers. The
development of prestigious centers of impact is central to IMR’s mission and vision. This section
summarizes a variety of center activities during FY12.
Funding Agency: National Science Foundation ‐ Materials Research Science and Engineering Center
(MRSEC) Program
Principal Investigators: PI: P. Chris Hammel, Co‐PIs: Leonard Brillson, Ezekiel Johnston‐Halperin, Patrick
Woodward, with 14 senior investigators
Duration: 9/1/2008 – 8/1/2014
Amount: $10.8 million + $6.8 million cost share, including more than $1M from IMR
Description: The Center for Emergent Materials (CEM), a NSF Materials Research Science and
Engineering Center (MRSEC), was established at The Ohio State University on September 1, 2008. A
prime goal of the IMR at its inception was to develop prestigious, externally‐supported research
centers at Ohio State. The CEM, which is the first OSU‐led NSF MRSEC, was the result of the IMR’s
initial multi‐year process to cultivate successful research centers from 2006‐2008, described in earlier
reports, working closely with other groups at OSU. Currently, IMR is engaged with CEM at all levels:
through a seat on the CEM Oversight Committee chaired by the Vice President for Research, a seat on
its Executive Committee, and through ongoing financial support of CEM staff members, collaboration/
seminar funds, and support of its internal proto‐IRG seed program. All told this support is
approximately $1M over 6 years. CEM currently consists of 2 Interdisciplinary Research Groups (IRGs),
20 core faculty members and 10 other faculty investigators drawn from 6 different disciplines and 4
universities, in addition to extensive educational and outreach programs. The IMR is fully committed
to the continued success and future renewal of the MRSEC program. Below are FY12 technical
highlights from CEM activities. More extensive details can be found from CEM’s annual report,
submitted to NSF, from which this information was summarized below.
IMR‐SUPPORTED RESEARCH CENTERS AND SELECT PROJECTS
CENTER FOR EMERGENT MATERIALS (CEM) NATIONAL SCIENCE FOUNDATION MATERIALS RESEARCH SCIENCE AND ENGINEERING CENTER (MRSEC)
IMR Fiscal Year 2011 -2012 Annual Report
Page 7
HIGHLIGHTS AND ACCOMPLISHMENTS OF CEM FOR FY 2012
The research mission of the CEM is to lay the scientific foundations for new opportunities and
directions in complex magnetic materials and systems through discovery of emergent materials and
phenomena, innovation in development of probes used to understand emergent phenomena, and
advances in predictive theory/modeling. This offers the potential for electronic devices that can
perform multiple functions, and innovative, energy‐efficient approaches to information processing and
logic. The CEM has two Interdisciplinary Research Groups (IRGs), and three supported Seeds. A
synopsis of the IRGs is provided below, including details of each IRG’s major accomplishments during
Fiscal Year 2011‐2012 and cumulative accomplishments and impacts to date.
IRG‐1: TOWARDS SPIN‐PRESERVING HETEROGENEOUS SPIN
NETWORKS
In anticipation of a need for integrated heterogeneous materials systems that enable generation,
transport, manipulation, and detection of electronic spin, IRG‐1 is studying characteristics of and
phenomena within multicomponent spin networks. At the heart of this network are low‐dimensional
structures made of spin‐preserving materials such as silicon and carbon. Specifically, the following
correlated challenges (inherent to any spin‐based network architecture) are being addressed in detail,
both experimentally and theoretically: (i) spin injection/extraction: efficient generation of spin‐
polarized free carriers, their injection into an optimal channel, and their extraction from that channel
for subsequent analysis/manipulation, and (ii) spin transport: conveying the spin state from a fixed
point to remote network locations while maintaining the spin polarization. The IRG‐1 team comprises
ten core faculty members, fourteen graduate students, three post‐doctoral scholars, and several
undergraduates.
IRG‐1 MAJOR ACCOMPLISHMENTS DURING FISCAL YEAR 2012
Over the past year, IRG‐1 has leveraged leading positions in scanned probe microscopy, spin transport
in graphene and magnetic resonance to accomplish the following:
ATOMISTIC STUDIES OF MAGNETIC DOPANTS IN SEMICONDUCTORS
Atomistic study of defect energetics: IRG‐1’s STM studies revealed how dopant properties such
as carrier binding energy (e.g., shallow or deep?), depend on proximity to interfaces, dopants,
charged vacancies, adatoms, and step edges.
Density‐functional theory modeling of point defects: DFT calculations performed on Ga(Mn)As
IMR Fiscal Year 2011 -2012 Annual Report
Page 8
supercells containing both a Mn acceptor and an As vacancy, improved understanding of the
origins of these observations. These calculations reproduce both the coulomb‐driven physics
and average dielectric constant observed in experiment.
MAGNETISM IN GRAPHENE
Direct Detection of Magnetic Moment Formation: IRG‐1 researchers have developed a new
method to directly detect the formation of magnetic moments in graphene based on their
scattering of pure spin currents in graphene spin valves. Using these techniques, the formation
of local magnetic moments resulting from hydrogen doping or ion bombardment damage has
been identified.
Exploring the mechanisms of spin relaxation in graphene: In related work, IRG‐1 researchers
employed tunneling spin valves to compare spin relaxation in single‐layer graphene (SLG) and
bilayer graphene (BLG) at low temperatures. These experiments reveal dramatically different
spin scattering mechanisms in these two closely related systems, with BLG exhibiting both
longer spin lifetime and an inverse relationship between spin lifetime and diffusion coefficient.
Ab‐initio methods for calculating defect‐induced magnetism and spin lifetimes: IRG‐1
researchers have applied density functional theory to better understand origins of defect‐
induced magnetism and spin lifetimes in graphene. They find that Fe prefers to bind on hollow
sites in the honeycomb lattice, and interestingly, prefers to cluster, with adatoms at nearest
neighbor hollow sites. These methods are being extended to study hydrogen adsorbates and
lattice vacancies for direct comparison with the experimental work described above.
DC AND FMR DRIVEN SPIN INJECTION IN FE/MGO/SI
Correlation of spin‐ and charge‐injection through Fe/MgO/Si tunnel contacts: IRG‐1 researchers
have observed large 3‐terminal spin‐injection signals in Fe/MgO/Si tunnel junction systems
employing n‐ and p‐type Si (doping: ~5 × 1018/cm3, close to the metal‐insulator transition), and
have also observed and quantified important correlations between the spin‐ and charge‐
injection signals measured by current‐voltage J‐V curves. These results provide direct insight
into the spin injection mechanism in these and related materials.
Room‐temperature spin‐pumping into Si directly observed via the spin‐accumulation voltage VS
at Fe/MgO/Si contacts: Using the same Fe/MgO/Si contacts described above, IRG‐1 researchers
find that ferromagnetic resonance excited in the Fe film produces spin accumulation in the
adjacent Si channel, that is detected through the resulting DC voltage across the contact. To the
authors’ knowledge, this is the first time a spin‐pumping induced contact voltage has been used
to directly detect spin accumulation in a semiconductor (as opposed to the inverse spin‐Hall
IMR Fiscal Year 2011 -2012 Annual Report
Page 9
effect detection of the spin current pumped into the non‐magnetic material).
IRG1 CUMULATIVE ACCOMPLISHMENTS AND IMPACTS:
Carbon based spintronics: IRG‐1 has established world‐leadership in the area of carbon based
spintronics through the synergistic development of world‐leading graphene spin valves
(switching signal of ~ 100 W 1 and spin lifetime of ~ 1 ns1 at room temperature) and first in world
demonstrations of all‐organic spin valves and hybrid organic/inorganic spin‐functional devices.
Magnetic and electronic structure of point defects: IRG‐1 researchers have established a
leadership position in the characterization and control of individual defects in semiconductors
using advanced scanned STM and sensitive scanned probe magnetic resonance. These studies
leverage atomistic, ab initio theoretical calculations in materials such as GaAs, Si, and graphene.
Spin generation and dissipation: In collaboration with the Thermal Spintronics proto‐IRG, IRG‐1
researchers have published world‐leading results including the elucidation of the importance of
phonon‐magnon drag in magnetic materials and phonon‐electron drag in nonmagnetic
semiconductors in the rapidly emerging area of spin‐thermal physics. Collaborative spin
pumping studies in Fe/MgO/Si heterostructures and modeling demonstrate unique strength in
the study of spin generation and dissipation.
Research images from the IRG‐1 group: (left) STM images and spatial maps of dI/dV, showing an ionization ring around a single surface‐layer Mn acceptor (MnGa). The local downward band bending is increased by bringing VAs nearby, thus causing an increased ring diameter. (right) DFT calculated total LDOS for MnGa near VAs. The in‐gap acceptor state sys‐tematically shifts toward higher energy with proximity to VAs, consistent with experiment.
IMR Fiscal Year 2011 -2012 Annual Report
Page 10
IRG‐2: DOUBLE PEROVSKITE INTERFACES AND
HETEROSTRUCTURES
The properties that can be found among A2BB′O6 double perovskites (half‐metallicity, high
temperature ferrimagnetism, etc.) make them one of the most promising families of complex oxides,
yet they are relatively unexplored on many fronts. Many of their properties are not well understood,
many compositions have either not yet been made or are incompletely characterized, and compared to
ABO3 perovskites there have been relatively few studies of thin films and heterostructures. To realize
the potential of double perovskites, IRG‐2 is pursuing two parallel and synergistic thrusts: (a) combined
theoretical, computational and experimental efforts to develop models that can be used to understand
and predict the properties of double perovskites, and (b) growth and characterization of highly ordered
epitaxial double perovskite films, interfaces and heterostructures. The IRG‐2 team comprises nine core
faculty members, one faculty affiliate, twelve graduate students, two post‐doctoral scholars, and
several undergraduates.
IRG‐2 MAJOR ACCOMPLISHMENTS DURING FISCAL YEAR 2012
IRG‐2 researchers continue to break new ground in the field of double perovskite (DP) oxides on three
synergistic fronts: (1) growth and characterization of high quality epitaxial films, (2) synthesis and
characterization of new bulk materials, (3) theory and modeling.
GROWTH AND CHARACTERIZATION OF HIGH QUALITY EPITAXIAL FILMS
IRG‐2 researchers have shown that the magnetic and electrical transport properties of epitaxial
Sr2CrReO6 films can be varied over a wide range through the appropriate choice of substrate. When
grown on SrTiO3, which is nearly lattice matched, Sr2CrReO6 is a ferrimagnetic (TC = 530 K, MS = 1.3 μB/
f.u.) semiconductor with an optical gap of 0.2 eV. When grown on a substrate that induces either
compressive strain or tensile strain the low temperature resistivity increases, by as much as two orders
of magnitude, and the saturation magnetization also increases, to values as large as 3.2 μB/f.u. The
origins of this dramatic sensitivity to the substrate are currently under investigation.
SYNTHESIS AND CHARACTERIZATION OF NEW BULK MATERIALS
Single phase bulk samples of thirteen double perovskites containing osmium have been prepared and
characterized. All of these compounds are magnetic insulators, with ordering temperatures varying
from 725 to 23 K. The observed patterns of magnetic ordering in this family do not obey the normally
reliable Goodenough−Kanamori rules. A par cularly intriguing case is Sr2CoOsO6 where both
experimental observations and computational modeling shows that long range interactions between
cations of the same type (Co−O−Os−O−Co and Os−O−Co−O−Os) are much stronger than shorter range
IMR Fiscal Year 2011 -2012 Annual Report
Page 11
interactions between different cations (Co−O−Os). Further study of the magne sm of these
compounds will shed new light on the rules that govern magnetism in oxides containing both 3d and
5d metal cations.
THEORY AND MODELING
The double perovskite Sr2CrOsO6 is a ferrimagnetic insulator with the highest Curie
temperature (TC=725 K) of any perovskite. IRG‐2 researchers have developed a unified
theoretical framework, integrating the hierarchy of charge and spin energy scales, and have
derived a new analytical criterion for a multi‐band Mott insulator: (UCr UOs)1/2 > 2.5 W, where W
is the bandwidth, and UCr and UOs are the effective charge gaps on Cr and Os, respectively. This
elegant criterion demonstrates that the small U on Os can be compensated by a strong U on Cr
thus driving the system into a Mott insulating state. Among oxides containing 5d transition
metal ions, where spin‐orbit coupling can be large, there are relatively few Mott insulators in
close proximity to a metallic state. Doping such compounds offers the opportunity to discover
novel phases of matter.
In all half‐metallic A2BB′O6 double perovskites studied to date the spin polarization of the
conduction electrons is significantly reduced by B/B′ disorder. First principles DFT calculations
have been used to predict that Ca2MnRuO6 will be a ferrimagnetic half‐metal, in which the spin
polarization is not sensitive to chemical disorder of the Mn and Ru atoms. Furthermore, the
calculations show that due to strong coupling between orbital and spin degrees of freedom in
A2MnRuO6 perovskites it should be possible to use epitaxial strain to tune between
ferrimagnetic and antiferromagnetic ground states. Following this lead, high quality films of
Sr2MnRuO6 have been deposited as a first step toward exploring the use of epitaxial strain to
control the properties of A2MnRuO6 perovskites.
From the IRG‐2 group: STEM images show (a) a high degree of Cr/Re ordering in films on SrTiO3 and (b) a clear zig‐zag bending of the atomic columns on Sr2GaTaO6.
IMR Fiscal Year 2011 -2012 Annual Report
Page 12
IRG‐2 CUMULATIVE ACCOMPLISHMENTS AND IMPACTS:
Near complete ordering in double perovskite epitaxial films: Half‐metallic double perovskites
(A2BB′O6) have attracted wide attention due to their high spin polarization and Curie
temperatures. Realizing this potential requires well‐ordered films; that has been elusive to
date. IRG‐2 has developed a new sputter deposition technique that demonstrates with 99% B/
B′ ordering enabling crystalline quality comparable to the best semiconductor and complex
oxide films made by MBE and PLD.
Surprising semiconducting behavior in Sr2CrReO6 films: The exceptional quality of these films
led to our discovery that, contrary to prevailing belief, fully ordered Sr2CrReO6 is a small gap
semiconductor/Mott insulator. This opens the door to controlling its electrical properties by
doping and to electrostatic gating for device applications.
Spin orbit magnetization tuning: We further confirmed, and calculated theoretically, the role of
strong spin‐orbit coupling in the dramatic sensitivity of the magnetization of fully ordered
Sr2CrReO6 to epitaxial strain: from 1.3 µB/f.u. (no strain ) to 3.2 µB/f.u. (1.6% strain). This offers
a window to understand 5d transition metal complex oxides and could enable magnetization
tuning for multifunctional applications.
IMR Fiscal Year 2011 -2012 Annual Report
Page 13
Funding Agency: National Science Foundation Nanoscale Science and Engineering Center (NSEC)
Program
Principal Investigators: PI: L. James Lee, Co‐PIs: John Lannutti, Robert J. Lee, Susan Olesik, Michael
Paulaitis, R. Sooryakumar, and Sherwin Singer
Duration: 09/01/2004 – 09/30/2014
Amount: $25,716,460
Description: IMR and Ohio State are fortunate to not only be a site for a current NSF MRSEC program,
but also a current NSF NSEC program ‐ The Center for Affordable Nanoengineering of Polymeric
Biomedical Devices (CANPBD) ‐ making OSU one of only 7 U.S. universities to be home to both types of
prestigious NSF materials research centers during FY12. CANPBD is housed within IMR’s Nanotech
West Laboratory, with its primary biohybrid laboratories supported by IMR/Nanotech West staff
members, in conjunction with CANPBD senior researchers. CANPBD student and postdoctoral
researcher offices are also located at Nanotech West. CANPBD was initiated in 2004 and is currently in
its second cycle of the NSF award, with a primary goal to develop polymer‐based, low‐cost
nanomaterials and nanoengineering technology to produce advanced medical diagnostic devices, cell‐
based devices, and multifunctional polymer‐nanoparticle‐biomolecule nanostructures for next‐
generation medical and pharmaceutical applications. Although challenging, this goal provides not only
opportunities for scientific breakthroughs and the development of cutting edge technologies, but novel
and demonstrable interdisciplinary system integration. Fundamental science and engineering is one of
the major foci of this center. In Phase I, ending in 2009, many useful nanotechnologies, devices and
nanoconstructs were developed. Each had specific merits and value‐added capabilities providing for
near‐term applications. Following this success, a nanotechnology system was established in Phase II to
address the need for (1) ‘up‐stream’ fundamental science, (2) high risk technologies meeting long‐term
research objectives, and (3) ‘down‐stream’ devices and nanoconstructs requiring integrated system‐
level effort. In addition to NSF NSEC funding, the CANPB team has successfully pursued leverage grants
from NSF SBIR/STTR, other funding agencies (e.g. NIH, Ohio Third Frontier Program) and industry
through joint proposals and CANPBD spin‐off companies. Joint proposals and grants with our medical
collaborators and industrial partners provide not only commercialization pathways, but also a
‘blueprint’ for a business plan to achieve center sustainability after Phase II funding ends. IMR has
been integral to the phase II development and transition plans beyond the NSF funding limit of 2014,
CENTER FOR AFFORDABLE NANOENGINEERING OF POLYMER BIOMEDICAL DEVICES (CANPBD) NATIONAL SCIENCE FOUNDATION NANOSCALE SCIENCE AND ENGINEERING CENTER (NSEC)
IMR Fiscal Year 2011 -2012 Annual Report
Page 14
notably through its support of internal seed funding specifically targeting CANPBD‐industry and
CANPBD‐College of Medicine teams which has amounted to more than $250k to date.
The interconnections among fundamental sciences, technology innovations and medical applications of
our research plan in Phase II are organized into three highly integrated nanofactory assembly (or
disassembly) systems for personalized nanomedicine. The first two systems consist of an Automated
Cell to Biomolecule Analysis (ACBA) ‘liquid biopsy’ System for early cancer detection and the third is a
smaller Multifunctional Nanoparticle Design and Synthesis (MNDS) System for simultaneous delivery of
therapeutic, imaging and probing reagents. One ACBA system is based on an Optical Tweezers
platform, while the other is based on a Magnetic Tweezers platform. All three systems share many
similar nanotechnologies and nanomaterials to address a broad range of biomedical needs. To realize
this goal, the system level challenges and technical barriers are addressed though team efforts using
the SIMILAR system integration process and the Risk Management approach.
HIGHLIGHTS AND ACCOMPLISHMENTS OF CANPBD FOR FY 2012
The center’s research team has completed a very productive year. Our faculty and students published
69 technical papers (266 papers since 2004). In addition, 11 patents were filed (25 patents filed since
2004), 1 patent was awarded (4 patents awarded since 2004), and 5 inventions were disclosed (17
inventions were disclosed since 2004). Six PhD students and 6 MS students finished their studies in the
past 12 months, and are now working for industry, other companies, government and academia. Our
research program and industrial collaboration are strongly enhanced by $4.6M in federal grants, $1M
in research and commercialization grants from the Ohio Department of Development, industry, and
SBIR Phase I and II grants. In addition to the $8M state‐of‐the‐art equipment items in nanomachining,
nanoscale polymer processing, nanobio characterization and manipulation, and micro/nanofluidic
analysis fully installed in the CANPBD’s central labs at Nanotech West Laboratory, we have designed,
built and successfully demonstrated preliminary ACBA and MNDS prototypes. The “supply chain”
linking CANPBD with nearby national laboratories such as Battelle, major medical centers at OSU such
as the Comprehensive Cancer Center (CCC), the Center for Entrepreneurship at OSU’s Fisher College of
Business, and the biotech industry has been further enhanced through the addition to the Industrial
Advisory Board and an expanded Medical Advisory and Evaluation Board.
A brief summary of major research accomplishments in the two nanofactory systems and our center‐
level system integration efforts during the last reporting period of Phase II are introduced here.
OPTICAL TWEEZERS BASED ACBA
The Optical tweezers based ACBA integrates novel polymer/ DNA soft lithography for chip fabrication,
low‐cost optical tweezers for cell manipulation, synthesis of liposomal nanoparticles containing
IMR Fiscal Year 2011 -2012 Annual Report
Page 15
advanced fluorescence molecular probes for in‐situ detection in living cells, and electrokinetically
driven nanofluidics for probe/molecule delivery into a single platform. In the 2011 annual report, we
showed a successful prototype ACBA system consisting of an antibody array for capturing targeted cells
in a cell mixture and an innovative nanochannel electroporation (NEP) device for injecting molecular
beacons (MBs) to detect the targeted mRNA biomarkers inside the captured living cells. The two are
integrated on a low‐cost optical tweezers platform for cell manipulation. NEP is realized by a low‐cost
DNA Combing and Imprinting (DCI) process recently invented in our center to produce polymer
microchannel‐nanochannel‐microchannel arrays on large surface areas. Using an automated dip
coating process and a layer‐by‐layer approach, we are now able to produce DCI chips with a successful
rate of >90% nanochannels from <5 to >200 nm diameter covering an area larger than 1 cm2. In the
last 12 months, a high‐resolution cell sorting technology based on a novel tethered immunoliposome
nanoparticle (tILN) array design has been successfully developed and tested. We have also successfully
designed two advanced molecular probes, locked nucleic acid based molecular beacon (LNA‐MB) for
microRNA and nuclease resistant molecular beacon (NR‐MB) for messenger RNA. They can be
encapsulated inside the tILN array to carry out simultaneous cell capture and intra‐cellular biomarker
(e.g. specific microRNAs and messenger RNAs) detection in individual living cells with high stability and
low ‘false positive’ effects. The same tILN array can also capture and detect intra‐cellular biomarkers in
circulated microvesicles such as exosomes in serum samples.
In addition, we are integrating our nanofibers based cell race tracking technique with this ACBA
platform to achieve broader applications. In collaboration with our modeling group, we have built
molecular structures of the different components of tethered bilayers, tethered nanoparticles, and
densely and loosely packed tethered bilayers with perforations and with attached vesicles, which
mimic the structures thought to be present in our electroporation system. We are now preparing to
simulate the dielectric properties/conductivity as a function of the system structure. Goals in the
upcoming year are to simulate the electro‐impedance spectroscopy (EIS) of these structures and
compare the predictions to experimental results. The end goal is to establish, through computations, a
method for inferring likely membrane structures from the EIS spectrum. For the first time, this will
allow EIS to be used for quantitative measurement of membrane structures, and thereby aid in
designing electroporation strategies for drug delivery.
NANOFIBER‐BASED MIGRATIONAL CHROMATOGRAPHY
For Nanofiber‐based migrational chromatography, we have begun to finalize both the highly aligned
nanofiber array and the conditions needed to guide the motion of migrating metastatic tumor cells.
Key to progress in this area has been the investigation of different fiber moduli enabling potential
improvements in migration. In this area, we have utilized “core‐shell” electrospinning to create
elegant combinations of fibers having the same surface chemistries, but different internal ‘core’
compositions, and thus, different overall moduli. The surprising discovery: nanofiber moduli both
higher and lower than that of polycaprolactone (PCL) display evidence of decreased motility. This is
IMR Fiscal Year 2011 -2012 Annual Report
Page 16
actually in line with recent measurements of brain matter indicating that the modulus of these delicate
in vivo structures is in fact most similar to that of PCL. Therefore we will continue to utilize PCL as the
nanofiber composition providing for the best, most rapid migration.
As a natural complement to this, we have been examining the effects of different oxygen content
media given biological reports suggesting that cancer cells migrate in response to oxygen gradients.
We are interested in determining exactly which oxygen contents provide for the most rapid migration.
Our results show that the presence of bovine or earthworm hemoglobin improves individual cell
viability and growth at low O2 levels. On the other hand, human hemoglobin adversely affects cell
growth and viability at increased hemoglobin concentrations and decreased oxygen levels. Decreasing
oxygen content from 5 to 1% O2 decreases aggregate dispersion on aligned fibers. Addition of bovine
hemoglobin at 5% O2 significantly increased aggregate dispersion.
Sensors that can rapidly determine locally dissolved oxygen levels under biologically relevant
conditions provide critical real‐time information about local oxygen contents that could be lower than
those applied systemically and could alter cell velocity. Using electrospun PCL containing an oxygen‐
sensitive probe, tris(4,7‐diphenyl‐1,10‐phenanthroline) ruthenium(II) dichloride, we observed an
oxygen response time of 0.9+/‐0.12 seconds. The t95 for the corresponding film was more than two
orders of magnitude greater. The response and recovery times of larger diameter PCL fibers were
1.79±0.23 s and 2.29±0.13 s, respectively. Response time is statistically different from that of ‘normal’
electrospun fibers. A more than 10‐fold increase in PCL fiber diameter reduces oxygen sensitivity while
having minor effects on response time; conversely, decreases in fiber diameter to less than 0.5 µm
would likely decrease response times even further. Leaching of the oxygen‐sensitive probe was
observed, necessitating a shift to PES or PES‐PCL core‐shell nanofibers providing 2‐ and 3‐fold slower
response times, respectively. At exposures up to 3600s in length, PCL photobleaching was largely
eliminated by the use of either PES or PES‐PCL compositions.
A critical aspect of the aligned fiber racetrack is that the cells currently migrate in both directions. We
seek to develop a ‘lure’ capable of biasing cell motion in one direction. Key to this concept is the use of
supercritical/subcritical fluids as a means of infusing bioactive molecules into the polymeric nanofiber
at defined locations such that these infused depositions guide the cells in the appropriate direction.
PCL‐gelatin blends were explored as a bioactive electrospun scaffold in examining increased pressures
and temperatures on Rhodamine B loading and release. The presence of the gelatin renders these
electrospun fibers far less sensitive to supercritical CO2 exposure. PCL swelling and gelatin compression
occur simultaneously, and this volumetric compensation stabilizes the PCL within the blend without
overall deformation. ATR observations suggest that these exposures increase the mobility of the
amorphous content. In cases where XRD shows no crystalline content peaks prior to CO2 treatment,
post‐exposure crystalline regions are detected. PCL‐gelatin scaffolds infused at either 1200 or 1500‐psi
subcritical conditions increase Rhodamine B loading concentrations 5‐fold compared to scaffolds
infused at only at 900 psi. PCL‐gelatin scaffolds infused supercritically at either 1200 or 1500 psi
IMR Fiscal Year 2011 -2012 Annual Report
Page 17
showed increased loading of Rhodamine B compared to scaffolds infused at 900 psi but produce
significantly lower released concentrations compared to 1200 or 1500 psi subcritically infused
scaffolds. These are likely a result of Rhodamine B’s solubility being significantly greater in liquid CO2
compared to gaseous or supercritical CO2.
Investigations aimed at assessing the effectiveness of femtosecond (FS) laser ablation of these
electrospun PCL‐gelatin blends were initiated. Statistical comparisons of the fiber diameter and
surface porosity on laser‐machined and as‐spun surfaces were made and results showed that laser
ablation did not change fiber surface morphology. The minimum feature size that could be created on
electrospun nanofiber surfaces by direct‐write ablation was measured over a range of laser pulse
energies. The minimum feature size that could be created was limited only by the pore size of the
scaffold surface. The chemical states of PCL/gelatin nanofiber surfaces were measured before and
after FS laser machining by attenuated total reflectance Fourier transform infrared (ATR‐FTIR)
spectroscopy and X‐ray photoelectron spectroscopy (XPS) showing that laser machining produced no
changes in the chemistry of the surface. FS laser ablation is an effective process for microscale
structuring of electrospun PCL‐gelatin.
This novel “cell race track” technology requires that we establish the optimal conditions needed to
guide the cells to achieve separation as quickly and efficiently as possible. Beyond supercritical CO2, an
Nano/biotechnology for high school students at St. Charles Preparatory School
Through the CANPBD‐sponsored Research Experience for Teachers (RET) program at Ohio State during the summer of 2010,
high school physics teacher Dr. Sarah Vandermeer was introduced to techniques of nanofiber synthesis and their
applications in biotechnology. After her summer research experience in the lab of Professor John Lannutti, a member of
CANPBD, Dr. Vandermeer created her own electrospinning apparatus at St. Charles High School. Since then, Dr. Vandermeer
and her students have performed original research on the physical properties of nanospun fibers, and observed cell mobility
on nanofiber scaffolds.
A PC microscope (left, in front of the computer) is focused on a petri dish containing amoebazoan slime mold on an aligned
nano‐fiber sheet. The student is programming the computer to record time‐lapse images of cell motion under various
conditions.
IMR Fiscal Year 2011 -2012 Annual Report
Page 18
additional technology is localized electroosmotic delivery that could induce a well‐characterized
gradient of chemoattractants. Once at the end of the array, we will utilize a combination of polymeric
surfaces and surface treatments to decrease cell adhesion allowing easy removal of cells from these
surfaces. In addition, we have already shown how localized electroosmotic delivery of trypsin or
collagenase can decrease cell adhesion from these surfaces to allow for easy removal.
The current ACBA system has satisfied our Level 1 and Level 2 technical metrics requirements for
system integration. We are now working towards Level 3 technical metrics, i.e. to sort out ~10
targeted cancer cells from a mixture of 5~8,000,000 white blood cells (typical PBMC cell number per 1
mL human blood sample) and to achieve the detection of more than two targeted microRNAs an
messenger RNAs at the single cell level with a user friendly and low‐cost ACBA system. We have also
started a small scale patient blood study to capture and detect lung cancer circulating tumor cells
(CTCs) using this platform. To further reduce the cost, a micro‐lens array is being developed to
facilitate cell manipulation and a comprehensive and automated DCI process will be completed soon.
MAGNETIC TWEEZERS BASED ACBA
The magnetic tweezers based ACBA, on the other hand, focuses on silicon‐polymer hybrid micro/
nanoengineering for chip and sensor fabrication, magnetic based cell sorting and manipulation, and
semiconductor nanowire based cell lysis and biomarker detection. We have demonstrated that cells
can be rapidly (within seconds) conjugated to labeled magnetic beads on a microarray platform of
Permalloy (NiFe) disks. The labeled cells are then introduced into a microfluidic channel with an
embedded array of zigzag FeCo wires or circular NiFe disks whose highly localized, permanent magnetic
field gradients have been used to separate labeled cells from unlabeled ones. This separation is
achieved through directed magnetic forces by combining externally controlled programmable weak
(~60 Oe) fields with the magnetic fields originating from the surface patterned wires or disks. In order
to interrogate the sorted cells, we have shown that individual magnetically labeled cells can be
introduced into an ionic droplet and transported for further interrogation. We have also quantified the
capture efficiency encapsulating magnetic microparticles and cells with individual droplets. We are
continuing our progress to achieving our ultimate metric of sorting out ~10 targeted cancer cells from a
mixture of about 30,000 cells and to subsequently detect one to five targeted microRNAs at the single
cell level with a biocompatible and low‐cost magnetic tweezers based system.
In order to achieve more efficient cell labeling within the “on‐chip” ACBA platform, we are developing
a microfluidic “cell‐labeler” that directly links to the magnetic separation stage. In this labeling stage,
magnetic polymeric beads labeled with specific antibodies are introduced, along with a parallel input of
the mixture of cells, to a designed central channel that promotes nanointerfacial folding for enhanced
labeling. An attractive feature of this approach is its direct integration to the magnetic traps/ tweezers
stage where both, positive or negative, selection can be promoted during separation. The experimental
work on the different platform stages is supported by modeling and simulations related to microfluidic
IMR Fiscal Year 2011 -2012 Annual Report
Page 19
flow and droplet formation.
In the third distinct stage of this ACBA platform, label‐free microRNA detection will enable evaluating
the biochemical character within the captured cells to enable diagnosis and treatment of tumors that
are linked to the source of the cancer cells. The detection is being mainly advanced through nanowire
charge sensor based arrays. In this effort, single cells (magnetically labeled or unlabeled) are precisely
moved to lie directly above the nanowire sensor using a new nanomagnetic tweezers that guides the
cell to the desired location. Once located, we have successfully performed localized heating and cell
lysing at the single cell level using the nano‐wire heaters. We have calibrated the temperatures using
FRET probes in the solution above the nano‐wire heaters and determined the voltage and the
corresponding temperature needed for cell lysing. We are currently pursing measurement of localized
thermal cycling at the single cell level towards target miRNA amplification and optical detection.
The two ACBA platforms complement each other and represent two major cell sorting and
characterization concepts with the Optical tweezers based ACBA relying on the non‐cleanroom, soft
materials fabrication technologies and cell in‐situ biodetection, while the Magnetic tweezers based
ACBA relying more on the well established cleanroom semiconductor fabrication technologies and
conventional cell lysis biodetection. The former has advantages of low‐cost potential and living cell
characterization; however, its reliability needs to be carefully evaluated because both the fabrication
and sensing methods are new. The latter has advantages of more robust fabrication and high
automation potential; however, its affordability needs to be addressed.
Through a collaboration with Edheads (www.edheads.org), an award‐winning 501(c) (3) educational web development company, the NSF‐supported CANPBD Center at Ohio State has been able to explain the benefits and excitement of nanotechnology to many thousands of potential future scientists. CANPBD’s collaboration with Edheads teaches curious minds of all ages about nanotechnology and biotechnology through unique, educational Web experiences designed to make hard‐to‐teach concepts understandable. The hallmarks of the Flash‐based Edheads activities are a focus on real‐world applications, and involve the viewer in interactive problem‐solving. The online activities motivate young participants to consider careers in science and engineering and the response to this web‐based education project has been very enthusiastic.
The Edheads project has made a major impact on the education of young people about science ‐ the “Nanoparticles and
Brain Tumors” activity was launched online in December 2011 and in the year since the launch, over half a million different
viewers participated in the activity. A cell phone design activity produced in collaboration with the College of Engineering at
Ohio State has involved over 10.9 million users since June 2009.
Above: The introduction to the Edheads online nanoparticle activity, featuring an animation of OSU Professor Jessica Winter
IMR Fiscal Year 2011 -2012 Annual Report
Page 20
We have also made progress in developing and evaluating promising alternative technologies for
enhanced detection of target miRs that can be incorporated into the third stage of either ACBA system.
These alternative technologies are: electrochemiluminescence (ECL), the capture and profiling of miR‐
containing tumor cell‐secreted microvesicles including exosomes secreted, and bioseparation by
nanofluidics.
In our work to selectively capture miR‐containing cell‐secreted microvesicles (MVs) and detect the miR
content of specific sub‐populations of these MVs, we have selectively captured the cancer‐specific MV
sub‐populations of interest on antibody microarrays, and characterized this sub‐population based on
MV size, size distribution, and morphology. In addition, we have shown that miRs contained in MVs
secreted from the cancer cells can be distinguished from those in MVs secreted from the non‐
malignant cells, suggesting that measuring the miR content of cell‐secreted MVs may enhance the
sensitivity of miR detection compared to detecting miRs expression levels within the parent cells. We
are now testing a novel idea of using tILN microarray to capture and detect MVs. Promising preliminary
results have been generated using both lung cancer cell lines and patient blood samples. Absolute
abundances (copy number per cell) of the five target miRs in cells have been quantified, and cell‐
secreted MVs that have been identified for distinguishing breast cancer cells from non‐malignant cells.
The isolation/purification of miR‐containing MVs has also led to biophysical/biochemical
characterizations of MVs that will enable optimizing Stage III biodetection methods based on cell‐
secreted exosomal miR assays, as alternatives to cellular miR assays.
MNDS SYSTEM
For the MNDS system, significant advances have been made on both chemistry and engineering
aspects of nanoparticles. Novel cationic lipids (TRENL series) have been synthesized and co‐lipids have
been identified (based on polyunsaturated fatty acids and based on SPAN80), which, when combined,
provided exceptionally efficient delivery of siRNA, miRNA and anti‐miR oligos, both in vitro and in vivo.
Targeted nanoparticles based on dual antibody targeting have been synthesized to improve the
efficiency and selectivity of cellular delivery. Novel methods have been developed, based on molecular
beacon and QDot‐FRET, to elucidate the intracellular trafficking pathways of different types of
nanoparticles. On engineering, microfluidics has been integrated with electrospray for nanoparticle
synthesis. In addition, a novel gene‐loaded nanoparticle synthesis method based on microfluidics
assisted picoliter droplet generation was developed that provided improved process control in
nanocarrier synthesis and transfection efficiency. Furthermore, a microwell‐nanochannel array has
been explored to synthesize multifunctional nanoparticles in a highly controlled manner. We plan to
further integrate innovations in chemistry with engineering, together with intracellular mechanistic
findings, to determine the structure‐function relationships related to multifunctional nanoparticles and
enable rationale design of the next generation of nanoparticles. We have close collaborations with
several labs at the OSU Comprehensive Cancer Center, which have carried out bioevaluation of the
newly developed nanoparticles carrying therapeutically relevant miRNA/anti‐miRs, as well as small
IMR Fiscal Year 2011 -2012 Annual Report
Page 21
molecule drugs, in several cancer types using cell lines and animal models, including leukemia, liver
cancer and lung cancer.
COMMERCIALIZATION EFFORTS
A key to eventually realizing the commercialization of nanoengineered biomedical devices is engaging
in industry‐recognized systems engineering processes for the three platforms that are being integrated
(ACBA I, ACBA II and MNDS) early enough in the development process so that key technical risks are
retired and interface issues resolved by the end of NSEC Phase II. This approach should facilitate the
next phase of investment (e.g. NIH P41 Center and Bioengineering Research Partnerships (BRP), as
described in Section 22) to allow further development of these platforms.
For each of the three system platforms, ACBA I, ACBA II and MNDS, we have put in place five
documents to assist teams in system integration activities: System Description, System Block Diagram,
System (and subsystem) Requirements, Risk Management Plan and System Integration Plan. These
documents are in a common repository to which all students, faculty and staff in the CANPBD have
access. As well, there are faculty teams forming for each platform who will meet regularly to maintain
these documents as well as discuss issues related to system integration on their respective platforms.
A similar competitive analysis platform will be established for the aforementioned three systems.
To facilitate a structured approach to system integration that reduces programmatic risk for each of
the system platforms (ACBA I, ACBA II and MNDS), we have put in place a system of documentation
and processes in three critical areas: Requirements Management, Risk Management and System
Integration Planning. We have disseminated the work products associated with these efforts, including
transitioning ownership and maintenance, to the respective platform teams consisting of students,
post‐docs and faculty. The work products generated include (for each of the three platforms): system
requirements, system description and architecture, subsystem requirements, risk management plan
and system integration plan.
IMR Fiscal Year 2011 -2012 Annual Report
Page 22
Funding Agency: Ohio Department of Development
Principal Investigators: PI: Steven Ringel, Co‐PIs: Peter March, Divisional Dean, Natural and
Mathematical Sciences, College of Arts and Sciences; David B. Williams, Dean of Engineering and
Executive Dean for the Professional Colleges; Bruce A. McPheron, Dean of Food, Agricultural and
Environmental Sciences and Vice President of Agricultural Administration
Duration: 8/18/2009 – 8/17/2013
Amount: $18,153,846 ($8,953,846 to Ohio State) plus cost share of $17.2 million
Description: The OSU Institute for Materials Research is the lead organization for a state‐wide
materials program funded by the State of Ohio, the $18.1M Ohio Research Scholars Program (ORSP)
award entitled Technology‐Enabling and Emergent Materials ‐ TEEM. This award creates a university
coalition consisting of The Ohio State University, the University of Akron and the University of Dayton
and funds the creation and support of a research cluster comprised of five endowed chairs with the
title of Ohio Research Scholar – three at OSU and one each at the University of Akron and the
University of Dayton. IMR Director Steven Ringel serves as that award’s Principal Investigator and IMR
performs all program management and research administration for the award.
The technical goal of this program through targeted faculty hiring is to pioneer revolutionary
approaches to accelerate the development of materials for technological impact, by evaluating
emergent materials at an early stage through the application of advanced characterization and
predictive modeling. By targeting the Scholars positions toward advanced microscopy, including
applications toward biomaterials, chemical synthesis from bio‐based sources, and scalable processing
based on nanostructure‐enhanced composite and also bio‐based materials, this unique cluster aims to
build upon and coordinate strategic strengths existing at the partnered universities in areas of
international impact. A prime area of focus is the exploration and development of innovative materials
that possess tailored functionalities and are derived from nontraditional (including bio‐based) sources,
with the state’s universities and industries being the prime beneficiaries. IMR has established a
Materials Innovation Council that includes leaders from the three state universities and a wide range of
industry leaders and other state‐supported industrial consortia, in order to maintain alignment and
communications up and down the value‐chain from basic science to commercialization, which is
chaired by Dr. Ringel.
RESEARCH SCHOLARS CLUSTER ON TECHNOLOGY‐ENABLING AND EMERGENT MATERIALS (TEEM) OHIO DEPARTMENT OF DEVELOPMENT OHIO RESEARCH SCHOLARS PROGRAM AWARD
IMR Fiscal Year 2011 -2012 Annual Report
Page 23
HIGHLIGHTS AND ACCOMPLISHMENTS OF THE TEEM ORSP FOR
FY2012
During FY12, 4 of the 5 total TEEM Scholars all have made substantial progress in initiating and
advancing their research thrusts, with several of the scholars already initiating collaborative research.
Their individual highlights are provided below. The fifth Scholar position is currently in the process of
being filled at the time of this writing, via the Department of Chemistry at Ohio State. With 4 of the 5
scholars now in place, several of the TEEM plans are taking shape. Our initial kick‐off meeting was held
on March 7, 2012, during which the IMR Director reviewed the TEEM program objectives and its
structure, the importance and intended role for the Materials Innovation Council (MIC), the need to
engage partner industries around the state, and led a discussion of just what are reasonable
expectations for the cluster to create multi‐institutional interactions. Each of the Scholars presented
an overview of their individual technical expertise and activities, and all of this was done with OSU
academic leadership from the College of Engineering, the Division of Natural and Mathematic Sciences
of the College of Arts and Science, and the Office of Research. The technical presentations were given
by the four Research Scholars – Profs. David McComb and Katrina Cornish (OSU), Scott Gold (University
of Dayton) and Nita Sahai (University of Akron). The very successful kickoff meeting led to an
agreement to have an annual meeting of this group, with the next one planned for Fall of 2012, and
thereafter to coordinate meetings at the annual Materials Week conference hosted by IMR, which will
be run at the end of spring semester starting in 2013 (shifted to spring due to OSU’s change from an
academic quarter to semester system).
A summary of each Scholar’s activities during FY 2012 is provided below, and a full listing of their
publications, invited talks and other professional accomplishments is included in the report’s
appendices.
Ohio Research Scholars Nita Sahai, Scott Gold, Katrina Cornish, and David McComb at the March 7, 2012 meeting
IMR Fiscal Year 2011 -2012 Annual Report
Page 24
KATRINA CORNISH, OHIO RESEARCH SCHOLAR IN BIO‐BASED
EMERGENT MATERIALS
Dr. Katrina Cornish joined the faculty at OSU’s Horticulture and Crop Science department in 2010 as an
Ohio Research Scholar in Bio‐based Emergent Materials. Dr. Cornish is widely considered to be the
leading U.S. scientific expert, and is internationally recognized as a principal authority, on alternative
natural rubber production, properties and products, and on natural rubber biosynthesis in general. Her
research focuses on bioemergent materials including exploitation of opportunity feedstocks from
agriculture and food processing wastes for value‐added products and biofuels. Dr. Cornish holds a
joint appointment with the Department of Horticulture and Crop Science and the Department of Food,
Agricultural and Biological Engineering. She leads a multidisciplinary team in the creation of innovative
industrial materials from plant‐based sources and associated biological, chemical and physical
processes. Dr. Cornish is based on the Wooster campus of the Ohio Agricultural Research and
Development Center (OARDC) ‐ which is the research arm of OSU’s College of Food, Agriculture and
Environmental Sciences and the largest university agricultural bioscience research facility in the United
States.
Since joining OSU as an Ohio Research Scholar, Dr. Cornish has been busy establishing her research
group and laboratory space in Wooster. She has hired five students, including two Masters students
working on medical scaffolds research and three Ph.D. candidates to support research in weediness
and gene flow issues and GMOs for increased crop yield. This year, renovations continued for a
replacement processing space used for her group’s research, and major instrumentation acquisitions
included a DMA800 dynamic mechanical analyzer from TA Instruments for rubber analysis, a Partec
flow cytometer for ploidy analysis, and a Field Spec Pro Near Infra Red Spectrophotometer (ASD).
Dr. Cornish has been awarded three externally funded research grants totaling nearly $1.5 million,
including an ARPA‐E award with Chromatin LLC, and three OARDC Research Enhancement seed awards
totaling $200,000. She also filed an Invention Disclosure for a novel process for sequential extraction
of rubber and direct utilization of inulin from Taraxacum koksaghyz and trademarked the DamSafe
dental dam deproteinizer. This fiscal year she also chaired the Terminology subcommittee of D11
Rubber at the ASTM conference, and presented papers at three conferences ‐ the 14th International
Latex Conference, The International Symposium on Establishment of Carbon‐Cycle‐System with Natural
Rubber, and the Association for the Advancement of Industrial Crops Annual meeting.
IMR Fiscal Year 2011 -2012 Annual Report
Page 25
DR. KATRINA CORNISH, RESEARCH AWARDS:
U.S. Department of Energy ARPA‐E: Plant‐Based Sesquiterpene Biofuels, with Chromatin LLC,
Total award is $5,769,590, OSU Portion $1,200,000
PanAridus: Guayule germplasm improvement by plastidic transformation to produce
isoprenoid substrates for rubber biosynthesis, $224,992 award
Bridgestone/OSU Guayale Research Agreement: $32,000 award for Solvent extraction
activities contracted to Crown Iron Works
SEEDS: The OARDC Research Enhancement: Novel biopolymer substrates for medically‐
relevant cell differentiation and tissue growth, $50,000 award
SEEDS: The OARDC Research Enhancement: Russian dandelion (TKS) and guayule germplasm
improvement by plastidic transformation to produce isoprenoid substrates for rubber
biosynthesis, $50,000 award
SEEDS The OARDC Research Enhancement – Interdisciplinary Award: Development and
environmental regulation of rubber particles among species, $100,000 award
DAVID MCCOMB, OHIO RESEARCH SCHOLAR IN NANOSCALE
MATERIALS CHARACTERIZATION
In February 2011, Professor David McComb joined The Ohio State University as the fourth of five total
TEEM Ohio Research Scholars. Dr. McComb is a Professor of Materials Science and Engineering and is
the Ohio Research Scholar in Nanoscale Materials Characterization. Dr. McComb is a world leader in
electron microscopy and the application of such methods to biological and structural materials, and at
Imperial College London he was responsible for the establishment of the first monochromated
analytical electron microscopy facility in the UK. At Imperial, Dr. McComb led a research group of
seventeen people and served as Co‐Director of the London Centre for Nanotechnology. He is a Fellow
of the Royal Society of Chemistry and a Member of the IOM3, Council of Royal Microscopial Society
and the Institute of Physics, and has over 90 publications and patents. Dr. McComb’s specific research
concentrates on the development and application of nanoanalytical electron microscopy techniques
for the study of chemistry, structure and bonding at the interfaces of atoms. His work also includes the
synthesis of novel, multifunctional three‐dimensionally ordered solids.
Since joining OSU, Dr. McComb has focused largely on establishing the Center for Electron Microscopy
and AnalysiS (CEMAS), a unique, state‐of‐the‐art structural characterization facility that centers on
electron microscopy and multiscale modeling that will support advancement of structural, electronic
and biological materials. Dr. McComb founded CEMAS with the intent of it becoming the hub for
business and academia for materials characterization. A point of difference in this facility will be the
world‐class multidisciplinary approach that enables academic and business partners to “see” more
IMR Fiscal Year 2011 -2012 Annual Report
Page 26
than ever before. Current and future challenges in medicine, healthcare, environment, energy and
technology need increasingly to be addressed on length scales ranging from millimetres to the scale of
individual atoms. The delivery of novel solutions in cancer therapies, diseases of an aging population,
sustainable development of functional and structural materials demands a multidisciplinary approach
to research. The mission of CEMAS is to disrupt the stratification of disciplines in the characterization
of materials. CEMAS will do this by bringing together multidisciplinary expertise to drive synergy and
amplify our characterisation capabilities, and thus challenge what is possible in analytical electron
microscopy. CEMAS will be the center that breaks through the current characterization limitations in
medicine, environmental science, energy materials and beyond.
It is anticipated that CEMAS will become one of the world’s finest advanced microscopy facilities and
one that will facilitate the application of electron microscopy to incredible breadth of materials
science, from biomaterials and bio‐based materials, to nanoelectronics, energy materials and advanced
structural materials. CEMAS will enable the entire research scholar cluster to advance beyond its
already strong plan, since the facility will create an easy‐access, user‐based infrastructure for
collaborative research and development where industries can be brought closely to the ORSP activities
that are focused on explorative materials. CEMAS will have one of the largest concentrations of
electron and ion beam analytical microscopy instruments in any North American institution. These will
include two aberration corrected scanning transmission electron microscopes (S/TEM). One
instrument is optimized for high spatial resolution imaging and analysis with the capability to provide
sub‐angstrom resolution, while the second instrument, delivered in June 2012, is designed for
investigation of soft materials and biomaterials with the ultimate in chemical analysis capabilities as
well as high resolution imaging performance.
Some of the extensive renovations underway at the future home of CEMAS include specialized flooring modifications to support custom anti‐vibration panels. CEMAS will be the premier advanced microscopy
facility in North America when it opens in September 2013.
IMR Fiscal Year 2011 -2012 Annual Report
Page 27
Central to the mission of CEMAS is to educate the next generation of electron microscopy users and
experts. To achieve this, it is the goal of CEMAS to make every instrument in the facility accessible
through remote access. This will enable instruments to be used and demonstrated in a world‐leading
digital lecture theatre within the CEMAS facility. Students and educators will be able to control and
interact with every instrument to facilitate teaching of the theory of electron microscopy and training
users in all aspects of the use and operation. This will also provide a foundation for distance learning,
in particular to provide electron microscopy training to other OSU campuses and other academic
institutions in Ohio and elsewhere. The use of electron microscopes by users at sites outside CEMAS is
also a key enabler of remote collaboration with academic, government and industrial research
partners.
CEMAS will be housed in a custom‐designed environment located approximately 100 yards from
Nanotech West Laboratory in the Kinnear Road west campus research complex, a strategic location
that will encourage substantial industry interaction and will leverage the large base of existing
materials processing, fabrication and biomaterials capabilities at Nanotech West, next door. This
facility will provide a world‐class environment for five transmission electron microscopes (TEM), three
scanning electron microscopes (SEM) and two dual‐beam focused ion beam (FIB) instruments. Sample
preparation laboratories for life sciences, physical sciences and engineering will be provided with full
technical support. The provision of comprehensive computer facilities for data processing and image
simulation will allow academic and industrial users to carry out their entire microscopy and analysis
program at CEMAS. A support team of technical, research, administrative and academic staff based at
CEMAS, including an IMR MTS, will provide comprehensive support to all users through a variety of
mechanisms from contract research to collaborative projects. Open plan desk space is provided for
research students and post‐doctoral researchers based at the facility with “hot‐desks” available for
occasional users. Long‐term industrial and commercial partners can be provided with secure office
space for semi‐permanent staff. nDr McComb has led a team of architects, engineers and scientist sin
the design of the facility on West Campus. Construction of the new facility will start in August 2012
and it is anticipated that the space will be opened in September 2013.
SCOTT GOLD, OHIO RESEARCH SCHOLAR IN MULTISCALE
COMPOSITES PROCESSING, UNIVERSITY OF DAYTON
During the Fall 2010 semester, the University of Dayton School of Engineering hired Dr. Scott Gold as
an Associate Professor in chemical and materials engineering and Ohio Research Scholar in Multiscale
Composites Processing. His research interests include surface chemistry and the development of novel
nanostructured materials, with a focus on energy related applications. Gold's area of expertise is the
processing of nanoscale materials and composites using surface tension, or how a liquid interacts with
solid surfaces. Applications include the fabrication of nano‐structured materials that can be used in
electronic devices, batteries, fuel cells or composite materials. Dr. Gold is the owner of five inventions
and the journal Synthetic Metals has profiled his work. For six years, Gold served in the chemical and
IMR Fiscal Year 2011 -2012 Annual Report
Page 28
nanosystems engineering programs at Louisiana Tech University, where he earned the College of
Engineering and Science Outstanding Teacher award in 2008. Gold also led the development of online
engineering courses and is a certified peer reviewer for online courses.
Since joining the University of Dayton, Dr. Gold has hired a postdoctoral researcher to support his
research activities, and made instrumentation purchases including a Kruss DSA 100 contact angle
measurement system to enable modeling of direct digital manufacturing processes, and an optical
microscope for materials characterization from Carl Zeiss. He has begun a research collaboration with
fellow Ohio Research Scholar Katrina Cornish, reached an intellectual property agreement with a
vendor to purchase of a Fortus 400 fused deposition modeling system for direct digital manufacturing,
and is actively meeting with an Ohio industry partner to pursue SBIR/STTR funding in the near future.
NITA SAHAI, OHIO RESEARCH SCHOLAR IN POLYMER SCIENCE,
UNIVERSITY OF AKRON
Dr. Nita Sahai joined the University of Akron during Fall 2011 semester as an Ohio Research Scholar
and Professor of Polymer Science within the College of Polymer Science and Polymer Engineering. Dr.
Sahai is an expert on biomolecule and cell interactions at mineral surfaces, environmental
geochemistry, biomineralization, and biomaterials. Dr. Sahai’s research falls within the field of
interfacial biogeochemistry, which includes medical mineralogy and biomineralization, bioceramics,
and environmental geochemistry. The unifying theme of this work is organic and inorganic interactions
at mineral surfaces on the molecular‐ and nano‐scale. Specific research projects she and her group
work on include the self‐assembly of phospholipids as model cell membranes at mineral surfaces, cell
adhesion to mineral surfaces, protein‐mediated biomineralization of calcite, silica and apatite, bone
growth on silicate bioceramic prosthetic implants, and biomimetic silica synthesis. Sahai was
previously at the University of Wisconsin‐Madison, where she was a professor of geochemistry in the
Materials Science and Environmental Chemistry and Technology programs. As a University of
Wisconsin member of the NASA Astrobiology Program, her research was also involved in
understanding biomineral morphologies as potential biosignatures on Mars. In order to determine
thermodynamically feasible reactions and to identify kinetic reaction pathways, the group used
theoretical modeling (quantum chemical‐molecular orbital calculations and classical thermodynamics),
aqueous analytical methods (ICP‐OES, AA, etc.), spectroscopic and microscopic techniques to
characterize solid, sorbed and aqueous phases (NMR, HRTEM, AFM, XAS) and thermochemistry
(microcalorimetry). Dr. Sahai is a Fellow of the Mineralogical Society of America and was the recipient
of a National Science Foundation CAREER Award.
Since joining the University of Akron, Dr. Sahai has focused on establishing her research
laboratory and group. Her research team now includes three postdoctoral researchers who
have helped her renovate and set up her research lab, as well as one Ph.D. candidate and one
IMR Fiscal Year 2011 -2012 Annual Report
Page 29
undergraduate student to conduct research. Dr. Sahai was awarded nearly $350,000 in
external research funding to date, and also chaired a session at Gordon Research Conference
on the Origin of Life in January 2012
DR. NITA SAHAI, RESEARCH AWARDS:
NSF CAREER: Mineral Surface Mediated Organization of Biological Macromolecules:
Geobiology and Low‐Temperature geochemistry, $75,585 award
NASA Astrobiology Institute (NAI): Detection of the signatures and environments of life on
Earth and other planetary bodies from their organic and mineralogical records, Sub‐contract
to University of Akron from Awardee ‐ University of Wisconsin‐Madison, P.I. Clark Johnson;
$71,789 award to Dr. Sahai
NSF DMR Biomaterials Program. Silicate Bioceramic Structure Control on Mesenchymal Stem
Cell Proliferation and Differentiation, Sub‐contract to University of Akron from University of
Wisconsin‐Madison, P.I. William Murphy; $198,117 award to Dr. Sahai
IMR Fiscal Year 2011 -2012 Annual Report
Page 30
Funding Agency: Ohio Department of Development
Principal Investigators: PI: Robert J. Davis, Co‐PIs: Paul Berger, Malcolm Chisholm, Arthur Epstein,
Joseph Heremans, Nitin Padture, Steven Ringel
Duration: 2/16/2007 – 11/30/2011
Amount: $18.3 million total ($6.8 million to Ohio State) and $30M in cost sharing from Ohio industries
and participating universities
Description: IMR’s first major sponsored block grant created the current Wright Center in solar energy
– the Wright Center for Photovoltaics Innovation and Commercialization ‐ which is co‐directed with the
University of Toledo. PVIC was established in early 2007 through an $18.6 million award from the Ohio
Department of Development, along with matching contributions of $30 million from universities,
federal agencies, and industrial collaborators. PVIC is a scientific partnership of the University of
Toledo, Bowling Green State University, and The Ohio State University, and more than 20 Ohio‐based
companies engaged in various aspects of photovoltaics technology. PVIC has a primary goal of
enabling Ohio to become the nation’s leader in photovoltaics research, development and
commercialization. The overall PVIC mission is to accelerate the photovoltaic (PV) industry in Ohio by
reducing solar costs, improving technologies, and transferring these new techniques from the
laboratory to the production line. The OSU/IMR node of PVIC has a specific focus on so‐called 3rd
generation photovoltaics, which inherently involves advanced materials and nanotechnology using
both inorganic and organic materials. Primary thrust areas are multijunction solar cells, heterogeneous
integration of high efficiency PV with low cost platforms, nanostructured solar cells, polymer
photovoltaics and basic optical‐thermal processes. IMR administers the Ohio State University PVIC site
and IMR Associate Director Dr. Robert J. Davis as its Principal Investigator.
WRIGHT CENTER FOR PHOTOVOLTAICS INNOVATION AND COMMERICIALIZATION (PVIC) OHIO DEPARTMENT OF DEVELOPMENT OHIO RESEARCH SCHOLARS PROGRAM AWARD
IMR Fiscal Year 2011 -2012 Annual Report
Page 31
HIGHLIGHTS AND ACCOMPLISHMENTS OF PVIC FOR FY2012
The Ohio State node of the Wright Center for Photovoltaics Innovation and Commercialization, or PVIC,
entered its fifth year of operation in FY12, also the final year of its initial Ohio Third Frontier funding.
As of November 2011, spending of the initial PVIC funding from Third Frontier (three initial years plus
two no‐cost extensions) was completed. At the end of FY12, PVIC listed 28 organizations as members;
three universities, four not‐for‐profit organizations, and twenty‐one industrial members (Table 1). In
addition, a small number of industrial members chose not to be publicly listed, and PVIC is moving
towards having Case Western Reserve University listed as a member in FY13. Other organizations that
are not members but are often attendees to PVIC meetings include the Edison Welding Institute
(Columbus, OH), the Bricker and Eckler Law Firm (Columbus, OH), GreenField Solar (Oberlin, OH),
Process Technology (Mentor, OH), Graco Ohio (North Canton, OH), and the Ohio Department of
Development. The members consider the PVIC nodes to be core organizations in PV activities in Ohio,
and in FY13 both PVIC‐OSU and PVIC‐UT will continue operations based on member fee income. This is
a highly successful outcome of the initial state funding and is an organization that IMR is committed to
sustaining as appropriate.
Over 50 PVIC members attended the Solar Durability Workshop in September 2011, which included ten talks on innovations in the photovoltaics industry
IMR Fiscal Year 2011 -2012 Annual Report
Page 32
Table 1. List of industry members of the Wright Center for Photovoltaics Innovation and Commercialization (PVIC)
Member Ohio Location
Primary Affilia‐tion Main Activity
The Ohio State University Columbus ‐‐ University research and industrial outreach
University of Toledo Toledo ‐‐ University research and industrial outreach
Bowling Green State University Bowling Green UT University research
Battelle Memorial Institute Columbus OSU Alternative energy systems
Edison Materials Technology Center (EMTEC) Dayton UT/OSU Alternative energy systems design
Green Energy Ohio Columbus UT/OSU Alternative energy policy
Honda Research Partnership Columbus OSU Alternative energy for transportation
Advanced Distributed Generation Toledo UT PV installer
Calyxo Inc. Perrysburg UT PV module manufacture
Cornerstone Research Group Dayton UT/OSU Advanced PV device designs
Decker Homes Lambertville (MI) UT/OSU Energy‐efficient home construction including NW OH
DuPont Inc. Circleville UT/OSU Backsheet and other materials for PV modules
Ferro Electronic Material Systems Independence UT/OSU Advanced materials for PV
Lake Shore Cryotronics Westerville OSU Sensor power and sensor materials development
Marshall and Melhorn LLC Toledo UT Public policy and legal issues in alternative en‐ergy
MetaMateria Technologies Columbus OSU Materials in PV and advanced energy
Owens‐Corning Inc. Granville UT/OSU PV for roof systems
Natcore Solar (formerly NewCyte), Oberlin OSU Advanced AR coatings and nanostructured mate‐rials for PV
Nippon Sheet Glass (formerly Pilkington) Toledo UT/OSU Glass for PV
PPG Industries Numerous locations UT/OSU Glass for PV
Plasma Si Inc. Toledo UT Materials for PV
Replex Plastics Mt. Vernon OSU Low‐cost PV systems development
Solar Spectrum LLC Toledo UT Materials for PV
SSOE Group Several OH loca‐tions UT/OSU Energy‐efficient architecture and building design
Tosoh SMD Inc. Columbus OSU PV materials
Willard and Kelsey Solar Group Perrysburg UT CdTe panel manufacture
Xunlight Corporation Toledo UT a‐SiGe:H panel manufacture
Xunlight 26 Toledo UT CdTe on polymer technology development
IMR Fiscal Year 2011 -2012 Annual Report
Page 33
A key event of the PVIC OSU node during FY12 was the sponsorship and organization of a Solar
Durability Workshop held in late September at the Longaberger Alumni House on Ohio State‘s
Columbus campus. The event attracted more than 50 attendees, over half of which were from
industry. Mr. Alex Kawczak of StrateNexus Technologies LLC (Dublin OH) was a great help in organizing
the agenda which included 10 talks after an initial introduction by Robert Davis of Ohio State:
“Developing an Ohio Technology Roadmap For Advanced Durability Photovoltaics,” Alex
Kawczak, President, StrateNexus Technologies, LLC, Dublin, OH
“Durability of Poly(Methyl Methacrylate) in Concentrating Photovoltaic Modules,” David Miller,
Program Lead and Staff Scientist, National Renewable Energy Laboratory, Golden, CO
“Ohio Third Frontier Wright Project Program: The Solar Degradation and Lifetime Extension
Center (s‐DLE),” Roger French, Professor and s‐DLE Founder, Case Western Reserve University,
Cleveland, OH
“Mirror‐Augmented Solar Photovoltaic Systems: Durability and Performance Case Studies,”
Scott Brown, Manufacturing/Project Engineer, Replex Plastics, Mt. Vernon, OH
“Accelerated Durability Testing of Thin‐Film Solar Systems,” Sean Fowler, Program Manager, Q‐
Lab Corporation, Westlake, OH
“Exploring the Durability and Performance of Organic Photovoltaic (OPV) Cells,” Paul Berger,
Professor, The Ohio State University, Columbus, OH
“Nanostructured Materials Research for Next Generation Improvements in Photovoltaics,”
Professor Stanislaus Wong, State University of New York and Joint appointment with the
Materials and Chemical Sciences Department, Brookhaven National Laboratory, Stony Brook,
NY
“Durability and Performance of Flexible Thin‐Film Silicon Photovoltaics: Emerging Needs and
Specification Development,” Aarohi Vijh, Director of Process Development, Xunlight
Corporation, Toledo, OH
“Innovative Transparent Conductive Oxides for Thin Film Solar Applications,” Eduardo del Rio,
Tosoh SMD, Inc., Grove City, OH
“New Substrate Materials for Thin Film CIGS and CdTe Photovoltaic Modules,” Thomas E.
Carney, Research Fellow, DuPont Electronics & Communications, Circleville, OH
“Ohio Third Frontier PV Program: Development of Advanced Durability Sealants for Solar
Cells,” John Maloney, Senior Scientist, Ferro Corporation, Independence, OH
The Workshop concluded with a discussion of a possible materials‐centric roadmap for solar durability
research and development in Ohio, and input from the attendees on the future path of PVIC.
International visitors to the OSU PVIC site during FY12 included Dr. Beatriz Galiana from Universidad
Politécnica de Madrid, Spain, who spent much of her time at the OSU Nanotech West Lab as a Visiting
Scientist. She worked extensively with IMR MTS Dr. John Carlin on MOCVD of III‐V photovoltaic cell
growth in support of three programs. Her visit was partly funded by the Government of Spain and
IMR Fiscal Year 2011 -2012 Annual Report
Page 34
partly by one of the PVIC PIs, Steven A. Ringel.
As of its report at the end of its Third Frontier funding, PVIC in total (both nodes, at OSU and at
University of Toledo) had created 172 for‐profit and 64 not‐for‐profit jobs in Ohio, and had resulted in
$88.7M of follow‐on funding across its membership. The latter dollar figure included $33M in Federal
funds that came from a broad spectrum of programs including Departmetn of Development,
Department of Energy, NIST, and multiple‐agency SBIR programs, a high impact to the state of Ohio.
This total follow‐on funding represents a ratio of 4.8 new dollars for every initial dollar of Third Frontier
PVIC funding.
PVIC INDUSTRY‐OSU RESEARCH COLLABORATIONS FY12
Even though the state funding for PVIC has now completed its cycle, seven industry‐OSU collaborations
involving PVIC member companies continue to thrive and grow, demonstrating the lasting success and
sustainability of the OSU node of the PVIC Wright Center in initiating innovation. In FY12 Replex
Plastics (Mt. Vernon, OH) continued its work on low‐concentration (>20x) PV systems, collaborating
with Robert J. Davis (Director, OSU Nanotech West Lab and Associate Director of IMR) and also with
Prof. Roger French of Case Western Reserve University; the team also includes Dovetail Solar and Wind
(Athens, OH), a highly experienced installer of alternative energy systems, as a customer
representative. While the current world PV economic situation has become more challenging with
regard to deployment of commercial PV systems, design and manufacturing knowledge gained from
the program has already spun‐off other products in, for example, the commercial daylighting and high‐
performance mirror arenas. When their collaboration with OSU began in 2007, Replex personnel knew
little or nothing about photovoltaics; as of the end of FY12 their personnel were lead authors on three
PV‐related publications including a paper in the new IEEE Journal of Photovoltaics.
GreenField Solar (Oberlin, OH) continued its collaborative development work on high concentration PV
devices and systems with the help of several Nanotech West staff members and engineering
improvements to its Vertical Multijunction (VMJ) solar cells and associated modules; that work that will
continue through FY13 and indeed into FY14.
Four other PV‐related projects included PVIC‐OSU in FY12 and will continue to do so into FY13. Energy
Focus (Solon, OH) continued its collaboration with the research group of Prof. Steven A. Ringel (ECE,
also IMR Director) and with Nanotech West Lab / IMR Research Scientist Dr. John Carlin in the
development of an off‐grid lighting system based on the III‐V on silicon technology developed by the
Ringel group. Natcore Solar (Oberlin, OH) continues to have two personnel based at OSU’s Nanotech
West, working on a variety of PV‐related coatings. Process Technology (Mentor, OH) continued its
development of a liquid solution heater for ultrapure process applications, based on easier‐to‐control
positive temperature coefficient (PTC) heating elements. Testing of the beta version of the new
IMR Fiscal Year 2011 -2012 Annual Report
Page 35
product is planned to occur at OSU in FY13. While the initial designs were targeted for the PV industry,
it is now more likely instead that the largest first customers for the new product will be in other thin‐
film industries. Prof. Paul Berger (ECE) continued his collaboration with Ferro Inc. (Independence, OH),
StrateNexus Technologies LLC (Dublin, OH) and the Edison Welding Institute (Columbus, OH) in the
development of advanced polymer sealants for PV module applications.
Funding Agency: National Science Foundation
Principal Investigators: PI: Ezekiel Johnston‐Halperin, Co‐PIs: Siddharth Rajan, Roberto Myers, Harris
Kagan, Steven A. Ringel, Fengyuan Yang
Duration: 10/01/2009 – 09/30/2012
Amount: $601,890 ($421,323 from NSF plus $180,576 cost share from The Ohio State University and
Ohio Board of Regents Action Funds)
Description: Though not a block grant, we include this NSF Major Research Instrumentation (MRI)
award due to the strategic nature of this multi‐user instrumentation and its joint location within two
IMR‐supported facilities housed in two colleges, and because it is a collaboration of three of the young,
outstanding faculty members who were recruited as part of the IMR’s strategic faculty cluster hires in
Materials Science and Engineering, Electrical and Computer Engineering and Physics.
Figure 3 shows a conceptual diagram of how the diamond synthesis tool and the customized ammonia
molecular beam epitaxy (MBE) system acquired through this MRI interface with multiple research
centers and groups. The locations of the systems within two IMR major user facilities, will allow for
their long‐term prosperity as core infrastructure resources. Because the acquisition enables a strong
path forward for future collaborative and externally funded projects through a unique coupling of
materials systems that many in the field are only now realizing may be possible, IMR provided
significant funding for lab renovation so that the necessary equipment integration could be achieved.
IMR also provided cash towards cost share for some of the equipment, and IMR is providing the
necessary administration of all aspects of the grant itself. IMR looks to this effort to be one of several
key paths forward for OSU leadership in the next generation of materials research.
MRI: ACQUISITION OF A HYBRID DIAMOND/III‐N SYNTHESIS CLUSTER TOOL NATIONAL SCIENCE FOUNDATION MATERIALS RESEARCH INSTRUMENTATION AWARD
IMR Fiscal Year 2011 -2012 Annual Report
Page 36
HIGHLIGHTS AND ACCOMPLISHMENTS OF THE MRI FOR FY2012
The two growth tools purchased through this award add significant new functionality to Ohio State’s
materials synthesis program. For example Figure 4 shows electron microscopy and Raman
spectroscopy of a poly‐diamond film deposited on a silicon substrate. Since June 2011 Dr. Camelia
Margineau, a Research Associate in the NSL facility, has led the effort to commission this tool by
“dialing in” the growth parameters for this benchmark growth. This diamond‐on‐insulator (DOI)
growth has applications ranging from high‐frequency micromechanical systems (MEMS), to thermal
dissipation layers for high power electronics, to radiation‐hard detectors for the large hadron collider
(LHC) at CERN. Of course, this work only scratches the surface of the materials that this new tool will
ultimately be able to produce, with OSU researchers already working on projects ranging from the
growth of perfect single crystals of diamond for experiments that push the frontiers of quantum
measurement to the synthesis of diamond nanowires only tens of nanometers in diameter but many
microns long. For example, Dr. Margineau has recently demonstrated the incorporation of nitrogen
impurities into these polycrystalline films without significant degradation in the material quality. This
is a necessary step in forming nitrogen‐vacancy defects, which have been shown to have interesting
Figure 3. Diagram of how the new equipment acquisitions through the MRI integrate across various traditional disciplines and other interdisciplinary centers within the IMR purview, in addition to international collaborations.
IMR Fiscal Year 2011 -2012 Annual Report
Page 37
room‐temperature quantum properties that make them useful for quantum information, and have
direct bearing on ongoing research at Ohio State ranging from single‐molecule measurements of DNA‐
protein complexes to fundamental studies of the interaction of heat and magnetism.
Figure 4 SEM image of polycrystalline diamond film (scale bare is 3 micron). Inset is Raman spectroscopy revealing a peak width of 7 cm-1.
While the diamond synthesis tool was ordered as a “turn key” system the second major piece of
equipment purchased through this proposal, an ammonia‐based molecular beam epitaxy (MBE) system
for the synthesis of nitride semiconductors, was designed according to custom specification provided
by Profs. Roberto Myers and Siddharth Rajan. This system will complement their existing synthesis
tool, a nitrogen‐plasma based system, by allowing the synthesis of high‐luminosity electro‐optical
devices in addition to the high‐mobility, high‐power devices already being produced. The
customization of the new tool will provide the ability to not only grow new material, but enables joint‐
growths between the two systems (i.e. a sample is started in one chamber and then transferred to the
other).
IMR Fiscal Year 2011 -2012 Annual Report
Page 38
Collaborations with industry are essential for providing true impact to the advancement of innovation in
technology. Within IMR’s purview we have extensive and pervasive industry involvement in a number
of research areas. Total annual research expenditures from industry sources to OSU was over $120
million in FY2010 according to National Science Foundation reports released in September 2012, and
NSF ranked OSU 2nd of all U.S. universities for industry‐sponsored research that year. Joint R&D
activities with industry come in many forms – major externally funded consortia driven to advance
innovation as well as the local economy, externally‐funded partnerships that are targeted to specific
technologies, internally‐created consortia, partnerships through existing centers and their activities,
partnerships to enable industry access to shared experimental and core facilities, and individual faculty‐
company collaborations for funded research that are too numerous to list. Some of the primary areas
for large industry interactions are in biobased materials and products, photovoltaic technologies,
advanced manufacturing processes, including integrated computational materials engineering (ICME),
lightweight alloys, advanced polymers and composites, micro‐and nano‐fabrication of devices, materials
characterization and teraherz measurement techniques. Many of the larger consortia are long standing
programs now supported by IMR, and IMR was instrumental in developing the Industrial Liaison Office
(ILO), located at IMR’s Nanotech West facility and led by Dr. Sharell Mikesell, former Co‐director of the
Center for Multifunctional Polymer Materials and Devices (CMPND) and founding director of the Ohio
Polymer Strategy Council (CMPND was OSU’s first Wright Center of Innovation, which included more
than 50 companies as active partners during its core funding cycle and was headquartered at the
Nanotech West Lab.).
This section of the report provides a representative listing of some of the more major industry
collaboration activities, and we provide some expanded discussion on several of our newer initiatives of
the past year that were strategic targets identified by IMR in the past year or two. Note that extensive
discussion of industry collaborations are also found in other sections of this report, particularly for PVIC,
Nanotech West, the ENCOMM Nanosystems Lab, and through the IMR Industry Challenge Grant
Program (see pages 31, 67, 72, and 66).
INDUSTRY COLLABORATIONS AND PARTNERSHIPS
IMR Fiscal Year 2011 -2012 Annual Report
Page 39
Members of the OSU materials community are actively involved in three NSF Industry/University
Cooperative Research Centers (I/UCRCs), leading two and collaborating on the third. The NSF I/UCRC
program develops long‐term partnerships among industry, academia, and government. The centers are
catalyzed by a small investment from NSF but are primarily supported by industry center members, with
NSF taking a supporting role in the development and evolution of the center. Each I/UCRC contributes
to the nation's research infrastructure base and enhances the intellectual capacity of the engineering
and science workforce through the integration of research and education.
SMART VEHICLES CONCEPTS (SVC) I/UCRC PROGRAM
The Ohio State University and Texas A&M University, Center Director: Rajendra Singh, Mechanical and
Aerospace Engineering; Center Deputy Director: Marcelo Dapino, Mechanical and Aerospace
Engineering
Description: The Smart Vehicles Concepts Center was successfully launched by the lead institution (The
Ohio State University) in July 2007 and was formally granted Phase II status beginning July 1, 2012
through June 30, 2017. Support for the SVC comes through a grant by the National Science Foundation
and through industry sponsorship. Current projects include the development of smart materials and
devices for vehicle use. This pre‐competitive technology is shared among contributing members. The
mission of the Smart Vehicle Concepts Center (SVC) is as follows: (1) Conduct basic and applied research
on the characterization of smart materials, and the development of adaptive sensors, actuators and
devices (based on active materials and control methods) for application to vehicle sub‐systems and
components; (2) Build an unmatched base of research, engineering education, and technology transfer
with emphasis on improved vehicle performance; and (3) Develop well‐trained engineers and
researchers (at the MS and PhD levels) with both experimental and theoretical viewpoints. The Center
focuses on novel and emerging trends in vehicle design where smart structures, next‐generation
suspension or mounting devices, vastly improved actuators or valves, intelligent sensors and improved
health monitoring and diagnostic systems are integrated to develop ground and aerospace vehicles of
the future. Fundamental and applied research is conducted to analyze, model, characterize and design
innovative engineered components capable of providing built‐in actuation, precision motion control
features, self‐diagnostics, and self‐healing capabilities while satisfying increasingly stringent vehicle
design requirements.
SVC sponsors currently include 8 industrial members (2 with 2 memberships each and 1 with 3
memberships), 2 affiliate members, and 3 invited observers. Industrial Members at OSU: Bridgestone
Americas Tire Operation, LLC; Eaton; Honda R&D; Hyundai‐Kia Motors; MIT Lincoln Laboratory; Moog
CURRENT NATIONAL SCIENCE FOUNDATION INDUSTRY/UNIVERSITY COOPERATIVE RESEARCH CENTERS (I/UCRCS) INVOLVING IMR MEMBERS
IMR Fiscal Year 2011 -2012 Annual Report
Page 40
Inc.; Toyota Research Center; Transportation Research Center Inc.; Affiliate Members at OSU: YUSA,
F.tech R&D; and Invited Observers at OSU: MSC Software; LMS Software; Romax.
CENTER FOR INTEGRATIVE MATERIALS JOINING SCIENCE FOR
ENERGY APPLICATIONS (CIMJSEA)
The Ohio State University , Lehigh University , University of Wisconsin ‐ Madison , Colorado School of
Mines ; funding began July 2009; Center Director: Sudarsanam Suresh Babu, Materials Science and
Engineering; Center Deputy Director: John C Lippold
Description: This I/UCRC focuses on scientifically based methodologies for assessing material weld‐
ability/join‐ability that span length (nm to micron) scales. Global demand for energy will continue to
push the envelope on existing materials and stimulate the development of advanced materials with
desirable engineering properties. Throughout the history of materials innovation, there are instances
where the application of new, high performance materials has been limited, or precluded, by the
inability to join them. A basic problem along the path from development to implementation is the lack
of a structured, scientifically based methodology for determining material “weldability.” The concept of
weldability occurs at the intersection of the joining process and the materials’ reaction to the thermal
and mechanical conditions that are imposed by the process. Considering the diverse need for materials
in energy industries, it is critical to develop scientific methodologies to join these materials. The center
will work on research projects that will include one or more of the following topics: (1) advanced joining
processes, (2) innovative process control and automation, (3) material development, (4) weldability and
characterization, as well as, (5) integrated process modeling. Two broad application areas will be
related to (1) extending the life of material joints within the aging energy infrastructure, as well as, (2)
reduction of the time and cost of deploying advanced/hybrid materials for the new energy
infrastructure. The center will also address the critical need for engineering graduates with welding and
joining background.
Center Members: American Engineering Manufacturing Inc; Applied Optimization Inc; Cameron
Computherm LLC; Cummins; Edison Welding Institute; ESI North America; GE Energy Infrastructure;
Hobart Brothers Co (ITW); Honda; Idaho National Laboratory; Los Alamos National Laboratory;
Medtronic Inc; NASA; Oak Ridge National Laboratory; PPL Generational LLC; Pratt and Whitney; Rolls‐
Royce Corporation; SFP Works LLC; Special Metals; The Babcock and Wilcox Company; The Lincoln
Electric Company; Thermo‐Calc Software Inc; UW Foundation; Wolf Robotics LLC
IMR Fiscal Year 2011 -2012 Annual Report
Page 41
TELECOMMUNICATIONS (CONNECTION ONE)
Arizona State University, The Ohio State University, University of Hawaii, Rensselaer Polytechnic
Institute, University of Arizona; funding began 2002; OSU Co‐Directors: John Volakis, Electrical and
Computer Engineering and Mohammed Ismail, Electrical and Computer Engineering
Description: Connection One is a National Science Foundation Industry/University Cooperative Research
Center working closely with private industry and the federal government on various projects in radio
frequency (RF) and wireless communication systems, networks, remote sensing, and homeland security.
The Center’s mission is to develop the technology to enable end‐to‐end communication systems for a
variety of applications, ranging from cellular to environmental and defense applications. The focus of
the center is to carry out collaborative and interdisciplinary activities with a focus on the next
generation wireless telecommunication systems (passive and active components). Ohio State supports
these areas with long standing expertise in communication systems, signal processing, integrated
circuits and systems, antennas, electromagnetics and device physics. As part of the Center, the OSU
team concentrates on: (1) focus on the experimental realization and evaluation of wireless components
and systems, and (2) integration with industry needs and accommodate transitions using long
established industry collaborations and working relationships.
Center Members: Agilent Technologies; Altera; Berrie‐Hill Research; Commscope; Esensors; Hydronalix;
Intel; NeWave Sensor Solutions; Orton Ceramic; Qualcomm; Raytheon; Ridgetop Group; Samsung
Telecommunications; Sensor Electronic Technology; Space Micro; Texas Instruments; U.S. Air Force
Research Laboratory; U.S. Army; U.S. Army – CERDEC; U.S. Central Intelligence Agency; U.S. Department
of Energy; U.S. Navy; Zomega Terahertz
IMR Fiscal Year 2011 -2012 Annual Report
Page 42
A major component of the Ohio Department of Development is the Ohio Third Frontier program, an
internationally recognized technology‐based economic development initiative that is successfully
changing the trajectory of Ohio's economy. The $2.3 billion initiative supports existing industries that
are transforming themselves with new, globally competitive products and fostering the formation and
attraction of new companies in emerging industry sectors. Ohio Third Frontier provides funding to Ohio
technology‐based companies, universities, nonprofit research institutions, and other organizations to
create new technology‐based products, companies, industries, and jobs. The Ohio State University has
benefited greatly from the Ohio Third Frontier’s investments in innovation, and the following OTF‐
funded projects were actively engaged in materials research during the reporting period:
These 15 OTF awards include 8 projects directly awarded to The Ohio State University, and 7 projects to
the private sector with whom OSU researchers are collaborating.
CAR Center of Excellence for Electric and Plug‐in Hybrid Vehicle Technology, Principal
Investigator: Giorgio Rizzoni, July 2009 – June 2012; $3,000,000
Development of thermal management solutions for lithium ion batteries, Principal Investigator:
Yann Guezennec, January 2010‐January 2012, $50,000
Center of Excellence for Energy Storage Technology, Principal Investigator: Giorgio Rizzoni, July
2010‐July 2013, $3,000,000
Center for high performance power electronics (CHPPE), Principal Investigator: Longya Xu, July
2010‐2013, $3,000,000
Integrated ultrasonic additive manufacturing and laser machining for realization of novel smart
structures, Principal Investigator: Marcelo Dapino, May 2011‐January 2012, $1,551,987
Low‐cost low‐concentration photovoltaic (LC2PV) systems for mid‐northern latitudes, Principal
Investigator: Robert Davis, May 2011‐January 2012, $257,500
Commercialization of Bio‐Based and Nano‐Tailored Composites for Industrial Applications,
Principal Investigator: Stephen Myers, July 2009‐July 2012, $499,977
Ohio Research Scholars Program: Technology Enabling and Emergent Materials, Principal
Investigator: Steven A. Ringel, August 2008 – November 2013, $18,153,846
Wires and Coils for Superconducting Fault Current Limiters, through Hyper Tech Research, Inc.,
OHIO THIRD FRONTIER FUNDING
IMR Fiscal Year 2011 -2012 Annual Report
Page 43
OSU PI: Michael Sumption, May 2009 – May 2012, $250,000
Advanced Composites: The New Generation of Materials Powered by Nanotechnology, through
Zyvex Performance Systems, OSU PI: Sharell Mikesell, May 2009‐May 2012, $150,600
Magnesium Diboride for Next Generation MRI, through Hyper Tech Research, Inc., OSU PI:
Michael Sumption, May 2009 – May 2012, $650,000
Cell Manufacturing for 100+kW SOFC Power Generation System, through NexTech Materials,
Ltd., OSU PI: Mark Walter, April 2008‐April 2013, $150,000
Agile Hybrid Joining of Fuel Cell Bipolar Plates, through American Trim LLC, OSU PI: Glenn Daehn,
April 2008‐April 2013, $160,000
Advanced Materials: Granule‐Based Delivery Systems, through The Andersons, OSU PI: Stephen
Myers, February 2008‐December 2011, $2,402,470
High Efficiency Photovoltaic Enabled Off‐Grid Solar/Led Lights, through Energy Focus, Inc., OSU PI: Steven
A. Ringel, November 2011 – October 2013, $345,000
The mission of CAMM is to develop research tools for the accelerated insertion of new materials and
optimization of existing ones. This is done by developing and integrating computational modeling and
simulation with advanced materials characterization focused on electron micrsocopy methods. An
integration of academia and industry, CAMM performs world class R&D and develops technologies
which are captured in products that create wealth and jobs, and provides an enhanced educational
process. Inputting significant effort in developing and integrating electron microscopy characterization
methods and modeling, CAMM develops new research tools and methodologies to accelerate the
insertion of new materials into commercial products. CAMM is part of a world‐class characterization
facility with remote access capabilities to assist our industrial and academic colleagues who do not
possess such equipment. CAMM provides novel contribution to educational outreach for high school
students with an emphasis on enhancing the learning of STEM. Taking part in an industrial partnership
program, CAMM includes support of students, providing access to equipment and technologies
developed. There are 20 active industrial CAMM members.
CENTER FOR THE ACCELERATED MATURATION OF MATERIALS (CAMM)
IMR Fiscal Year 2011 -2012 Annual Report
Page 44
Funding Agency: Alcoa Foundation
Principal Investigators: PI: Glenn Daehn, Co‐PI: Anthony Luscher
Awarded: July 1, 2011
Amount: $400,000
Description: The Ohio State University Institute for Materials Research was awarded a $400,000
development grant by the Alcoa Foundation in support of innovative design and manufacturing
technologies that will enable the creation of lighter, more environmentally friendly vehicle structures.
The grant will further research in the area of material lightweighting for transportation applications.
Professor Glenn Daehn of Ohio State’s Department of Materials Science and Engineering is serving as
project lead, with Professor Anthony Luscher in the Department of Mechanical and Aerospace
Engineering serving as co‐investigator. There is a growing recognition that the lightest weight and most
affordable vehicles in the future will not be made from one material, but many different ones. In
addition, there is a pressing need to reduce the mass of all classes of wheeled vehicles, including light
automobiles, trucks, and passenger busses. Mass reduction directly improves fuel economy and is
especially important to electric and alternative powertrains. Vehicles in the future will need to have
unique structural designs in order to achieve these weight savings. This grant to The Ohio State
University is part of Alcoa Foundation’s $4 million “Advancing Sustainability Research: Innovative
Partnerships for Actionable Solutions” initiative that funds 10 global sustainability research projects in
Australia, Brazil, Canada, China, Russia and the United States. The Alcoa Foundation grant will allow
OSU to study new and innovative joining strategies that are tailored to each material combination and
each loading type.
HIGHLIGHTS AND ACCOMPLISHMENTS OF THE ALCOA FOUNDATION
AWARD DURING FY 2012
Led by Dr. Daehn and Dr. Luscher, the research conducted this year through Alcoa Foundation award
funds has provided demonstrator projects for novel joining methods and innovate uses of aluminum
through both traditional research and student engagement. To date, this project has shown the
following successes:
CURRENT ADVANCING SUSTAINABILITY RESEARCH: INNOVATION PARTNERSHIPS FOR ACTIONABLE SOLUTIONS ALCOA FOUNDATION AWARD
IMR Fiscal Year 2011 -2012 Annual Report
Page 45
Research: New results have been developed on the strength and fatigue resistance of conformal
interference joints, which can be used to join arbitrary dissimilar materials.
Student Engagement: 15 OSU undergraduate and graduate students have engaged (research/
senior capstone projects) with the research related to this project. Research spin‐off activities
will reach high school students.
Outreach: A Sustainable Design and Manufacturing lecture series launched which hosted
traditional and Pecha‐Kucha short format presentations to students and practicing
professionals.
Facilities: Two OSU laboratories are being upgraded to support work on light structures and
equipment to support innovative forming and joining has been added.
Lasting Impact: This work was instrumental in nucleating OSU commitment to a new Center for
Design and Manufacturing Excellence (CDME) that is now being planned as a long‐term multi‐
million dollar per year research and outreach activity.
The Alcoa Foundation award allows OSU faculty and students to design lightweigh, affordable vehicles. The research includes modeling (example above) and studying new and innovative joining strategies that are tailored to each material combina‐
tion and each loading type
IMR Fiscal Year 2011 -2012 Annual Report
Page 46
RESEARCH
Research has led to the creation of several optimal structures for crash test, repeated loading and
fatigue with fatigue and overload modes being demonstrated. With this, methods of joining aluminum,
magnesium, steel, and composites are being optimized. Most recently, research on vaporization of
metal foil for collision welding dissimilar metals has been proven as a viable joining solution.
Concurrently, crash analysis of multi‐material vehicle structures using LS‐DYNA are being developed and
improved upon in order to develop safe designs efficiently.
Completed research demonstrator projects include the creation of a multi‐material innovative bicycle
design as well as a multi‐material motorcycle frame. The later design, once fully complete, will
demonstrate transfer of load between two planes as well as structural members in bending. As research
is being completed, related technical publications have been completed and include one invited book
chapter and three papers written and presented at International Conference on High Speed Forming in
Dortmund, Germany (full citations provided in Appendix B).
STUDENT ENGAGEMENT
SAE BAJA DEMONSTRATOR PROJECT
A team of OSU engineering students was able to demonstrate the effectiveness of a new lightweight
multi‐material (Aluminum‐Steel) control rod developed as part of this research with application on the
recent Society of Automotive Engineers (SAE) Baja vehicle. The design was joined using electromagnetic
forming and resulted in a 55% weight savings over the original design. The team was advised by Dr. Leo
Rusli of Dr. Anthony Luscher’s research group. Highlights and results of this project were published in
the SAE MOMENTUM Magazine in November 2011.
UNDERGRADUATE STUDENT CAPSTONE PROJECT
Research has been devoted to increasing the sustainability of shipping goods around the world by
reducing the mass of the shipping pallets and using materials that last longer and are fully recyclable.
Wooden shipping pallets deforest up 100 million acres of forest each year and are generally “single‐
use,” leading them to be discarded and occupy 4 percent of solid waste in landfills. They can also carry
invasive species from one region to another. Aluminum shipping pallets are lighter, stronger, fully‐
recyclable and have a longer life span that should be in the decades, rather than months. However,
current aluminum shipping pallets cost about $200 each – 10 times the cost of wooden pallets.
IMR Fiscal Year 2011 -2012 Annual Report
Page 47
Based on this need, a group of OSU engineering undergraduate students advised by Dr. Glenn Daehn, as
part of their Senior Capstone Project developed and built two prototype designs of aluminum shipping
pallets that meet the international standard of load bearing capacities of 2000 lbs (Dynamic) & 6000 lbs
(Static). And the design leverages highly‐automated manufacture that should reduce cost by half. These
new pallets will be 100% recyclable made of a widely available aluminum alloy and will use
manufacturing methods that maximize efficiency.
STUDENT RESEARCH PROJECTS
Development of a hybrid press and electromagnetic forming method to improve the formability of high‐
strength sheet metal is being done by Ryan Brune who is advised by Dr. Glenn Daehn. This will enable
more energy efficient, local and agile production of components such as door inner panels from high
specific strength materials such as aluminum and high strength steels thus enabling mass reduction in
vehicles.
Development of new test methods for measuring the flow strength of materials at high strain rates is
being done by Shweta Gupta who is advised by Dr. Glenn Daehn. Preliminary results were presented at
an invited presentation: "Metals Far from Equilibrium ‐ Bridging the Divide Between Experimental and
Atomistic‐Modeling Scales" at the International Conference on Computational and Experimental
Engineering and Sciences 2012, which was held from April 30 ‐ May 4, 2012, in Crete, Greece. Glenn
Daehn’s presentation “Thoughts on Easily‐Simulated Tests for Plastic Behavior (i.e., high strain rate
tests),” covered the novel material testing performed for a range of high strain rates as being developed
by Shweta.
This shipping pallet design is just one of the actionable solutions
resulting from this research, and will have further implication in the
automotive supply chain and beyond.
IMR Fiscal Year 2011 -2012 Annual Report
Page 48
OUTREACH
In 2012, a new Sustainable Design & Manufacturing (SDM) Seminar Series was launched to provide
ongoing education of both engineers in training and practicing engineers on a holistic approach to multi‐
material structural joining. Three seminars took place this year by representatives from General Motors
and Alcoa, and various speakers took part in a Pecha Kucha‐style seminar on topics related to
sustainable design, materials and manufacturing.
FACILITIES
Development is underway of targeted multi‐material structure building facilities, which will be
designated as Alcoa Foundation gifts. Two research facilities on OSU’s Columbus campus are currently
being upgraded, renovated, and used for housing new related equipment. The OSU Edison Joining
Technology Center is obtaining laser impact welding equipment offering a joining process with the
potential to metallurgically join almost arbitrary dissimilar metals. Prof. Daehn’s research lab is
acquiring a RivTac Machine in order to demonstrate high velocity self‐piercing rivets for multi‐material
structures.
LASTING IMPACT
The Alcoa Foundation gift has helped to nucleate the development of the OSU Center for Design &
Manufacturing Excellence (CDME) which is currently underway and will serve as a targeted multi‐
material structure building facility. This center will serve the manufacturing community through a
unique partnership with The Ohio State University, and more details are anticipated in next year’s
annual report.
IMR Fiscal Year 2011 -2012 Annual Report
Page 49
Toward the end of FY2011, IMR along with several other key technology areas within Ohio State, was
named by the Ohio Board of Regents (OBR) as a Center of Excellence, which was broadly aligned with
manufacturing from the perspective of the State and thusly named the Ohio State Center of Excellence
in Materials, Manufacturing Technologies and Nanotechnology. To that end, the Ohio Manufacturing
Institute (OMI) was organized by IMR member Prof. Glenn Daehn to service the needs of Ohio
manufacturers by connecting these needs with the technical resources available at OSU, including labs,
equipment, faculty and students, in order to provide technical solutions. One of the earlier IMR‐OMI
actions was the successful creation of a strategic partnership with Alcoa Foundation, which is described
separately in this report in the previous section. OMI serves as a single point of entry for Ohio
manufacturers to easily access the deep technical university resources and promote collaborative
relationships through teamed research and development projects. OMI has been working to develop
mechanisms to allow operation at the speed of business due to its lean staff, simple contract
mechanisms with low overhead, and its one‐stop‐shopping experience for customers to access a wealth
of resources through one entity. The intent has been that mechanisms and procedures would be piloted
at Ohio State and could be adapted or ported to other universities in the University system of Ohio
(USO). OMI is working to reach its goal of improving local manufacturing capabilities by making
university resources more user‐friendly for industry, providing deep technical development offerings to
industry through industry partnerships, and offering unique technical outreach and engagement
opportunities.
ENGINEERING SERVICE CONTRACTS
Last year, the Ohio Manufacturing Institute (OMI) successfully created and launched the Engineering
Service Contract (ESC), a mechanism that has since been used many times in the OSU College of
Engineering in order to expedite technical contract work between industry and universities. The ESC
provides a quick and effective way to engage with university resources through a no‐nonsense easy
contract process where contracts can be executed in less than 24 hours, enabling collaborations to
move at the speed of business. The contract is simple and the project scope is described in a simple one
‐page attachment to the contract. A customer satisfaction survey was created and distributed to
industry collaborators to capture satisfaction in working with OMI, and 100% of survey respondents
were satisfied with the speed of completion of their project and results of their project, and would
recommend an industry colleague to OMI for future technical services. Year‐over‐year growth has been
seen in Engineering Service Contract engagements with over $200K invoiced this past year.
THE OHIO MANUFACTURING INSTITUTE
IMR Fiscal Year 2011 -2012 Annual Report
Page 50
CO‐LOCATED INTERNSHIPS
OMI launched a unique student‐industry engagement program in spring 2011, the Co‐Located Internship
(C‐LI) program. This internship program is structured such that OSU students are employees of an Ohio
manufacturing company and subject to its supervision and human resource rules. The student works on
a pre‐determined project under the guidance of an OSU faculty mentor while maintaining full access to
all OSU resources including labs, equipment, and computer programs in order to further enhance the
project impact. This structure gives an unprecedented and efficient mechanism for technology transfer
from the university to manufacturers. Companies that have participated in this pilot year program
include: Sutphen (Hilliard, OH) and Hendrickson (Canton, OH) with additional anticipated engagements
from Abrasive Technology (Lewis Center, OH) and others. The program has gained the financial seed
support of a $45K two‐year pilot fund through the Honda‐OSU Partnership. Plans are in place to
develop this into a self‐sustaining long‐term program. Both the Sutphen and Hendrickson C‐LI
engagements resulted in positive industry feedback and job offers extended to both students.
QUANTITATIVE LEAN
The Ohio Manufacturing Institute is playing a valuable role in deploying quantitative lean initiatives to
Ohio manufacturers through a partnership with OSU’s Dr. Shahrukh Irani and his Job Shop Lean research
group. OMI has recruited Dr. Irani to serve as a faculty mentor to several Co‐Located Internships in the
pilot year. In addition, OMI has played an integral role in facilitating the launch of the Polymer Ohio e‐
portal by investing in software development to make Dr. Irani’s PFAST software easily accessible to
manufacturers. PFAST is a unique software package developed at OSU and utilized to determine
optimum plant layout and work flow.
TECHNICAL SEMINARS, CONFERENCES & MEETINGS
In addition to the technical thrust areas identified, OMI has played host to a number of technical
seminars, conferences and meetings including the following:
Co‐hosted the 2011 Job Shop Lean Conference at The Ohio State University, September 5‐7,
2011.
Hosted Kevin Kramer, President of Alcoa Growth Initiatives, for a lecture on Corporate
Sustainability on January 13, 2012.
Hosted unique technical exchange with the Pecha Kucha on Sustainable Manufacturing,
Materials & Design on January 19, 2012.
IMR Fiscal Year 2011 -2012 Annual Report
Page 51
Hosted Harry Moser, Founder of the Reshoring Initiative, to speak about benefits of bringing
manufacturing back to the U.S. on January 26, 2012.
Hosted Dr. Alan Luo, Technical Fellow at General Motors for seminar, “Alloy Development,
Manufacturing and Design for Light Metals Applications” on April 10, 2012.
TECHNICAL SHORT COURSE DEVELOPMENT
OMI is developing non‐credit short courses targeted towards Ohio manufacturers’ technical workforce
needs. Surface Modeling & Design Using CATIA v6 is the first in this series of short courses that will be
launched in July 2012. With this short course, OMI is pursuing a collaborative partnership with the Ohio
Supercomputer Center (OSC) to host virtual CATIA seat licenses. OMI short course participants will be
given Ohio State University certificates of completion. In addition, OMI is pursuing state of Ohio
recognition of completed courses with support from the ODoD Workforce Development group.
Additional courses are being developed and include topics such as casting, assembly design, and job
shop lean implementation.
POLICY AND MANUFACTURING ENVIRONMENT
The Ohio Manufacturing Institute is serious about affecting policy decisions at the state and federal level
in order to ease the burden on US manufacturers and grow the local manufacturing economy. Due to
this commitment, OMI has hosted, presented, and played a key role in the following meetings:
Manufacturing Policy Forum ‐ OMI has been making strides towards establishing a core team of
manufacturing policy experts which can work towards influencing the manufacturing policy
environment. In collaboration with the OSU John Glenn School of Public Policy and OSU’s Fisher
College of Business, OMI plans to host a Manufacturing Policy Forum in fall 2012 to bring
together policy influencers as well as manufacturing thought leaders to discuss why
manufacturing is important and what we can do to improve the manufacturing climate in the
state and the region. The anticipated result of this forum will be white papers including
recommendations for path forward.
Company Recruitment – OMI s a strong collaborator with Columbus2020 and as part of that
collaboration has been actively involved in meetings and tours with several industrial partners
considering relocation to the central Ohio region. Access and understanding of the deep OMI
facilities and expertise offer a powerful non‐cash incentive to companies relocating to Ohio.
IMR Fiscal Year 2011 -2012 Annual Report
Page 52
FUTURE OF OMI
OMI desires to continue bringing value to the Ohio Department of Development and the OSU College of
Engineering and as we look ahead to our next year of operation, we aim to serve as a state pilot for a
University MEP in order to further deploy technical university resources to Ohio manufacturers for
economic development. Specifically, OMI plans to focus on the following initiatives, while maintaining
the current activities and support services:
Align with the state MEP in order to provide further technical assistance to Ohio manufacturers
in order to improve competitiveness and foster economic development.
Continue focus on development and deployment of technical short courses in order to serve the
Ohio manufacturing workforce development needs at the technical professional level.
Collaborate with Board of Regents and the ODoD Workforce Development group to find new
and innovative ways to further address current and future technical workforce needs.
Further our web presence in order to act as a portal between manufacturers and the deep
research assets including the USO and other national labs.
Deepen our partnership with the Ohio Department of Development, Ohio Board of Regents, and
the Ohio Department of Education goals and initiatives in order to attract, retain, and grow
manufacturing and technical competency in the State.
Our NSF‐funded MRSEC is engaged in targeted industrial collaborations with three companies: Lake
Shore Cryotronics, Westerville, OH; Traycer Diagnostics, Columbus, OH; and IBM, Yorktown Heights, NY.
Lake Shore Cryotronics is a local company, spun out of OSU more than 25 years ago, that holds a
dominant position in the field of sensors and electronics instrumentation. In autumn 2011 CEM and
Lake Shore were jointly awarded a grant from the Ohio Department of Development Ohio Third Frontier
funds to develop a cost‐effective terahertz‐based characterization system which will be used for
semiconductor research. This collaboration entails the development of a proto‐type instrument by Lake
Shore and alpha‐ and beta‐testing by CEM scientists. The instrument will be installed in the
NanoSystems Laboratory (NSL), a core facility supported by IMR, which will allow the cutting‐edge
instrument to be available to all IMR researchers.
CENTER FOR EMERGENT MATERIALS INDUSTRY INTERACTIONS
IMR Fiscal Year 2011 -2012 Annual Report
Page 53
Traycer Diagnostics is another, more recent OSU spin‐off company, that focuses on using terahertz (THz)
materials to develop instruments for non‐destructive evaluation. Traycer is involved in the development
of the terahertz spectrometer in coordination with Lake Shore. CEM has submitted joint proposals with
Traycer to support THz sensor development. CEM’s experience with high frequency magnetics positions
it well to both support and benefit from this technology.
CEM’s collaboration with IBM focuses on better understanding charge and spin‐injection into Si
nanostructures. CEM is applying expertise in STM studies of charge injection in conjunction with
techniques for detecting spin transport. IBM is providing high quality Si nanowires.
During this period CEM hosted an industry seminar that was a candid conversation about research and
career paths in science. This seminar featured Ohio State alumnus, Dr. David Daughton, who is currently
employed with Lake Shore Cryotronics. This seminar was well received by the students, post‐doctoral
researchers, faculty and staff members who attended from nine departments and three Centers. Dr.
Daughton’s seminar emphasized networking and the steps involved in beginning an industrial career
upon completing a Ph.D. This is a particularly important topic for students engaged in basic science
research as their primary emphasis.
IMR Fiscal Year 2011 -2012 Annual Report
Page 54
Recognizing that many of our faculty members are independently engaged in dozens of collaborations
with colleagues around the globe, this section will briefly summarize two highlighted activities that have
been recently formalized at a center level.
In order to better exploit research opportunities enabled by international collaborations, the Center for
Emergent Materials MRSEC (CEM) has established the International Materials Research Alliance (IMRA),
a vibrant center‐to‐center collaborative interaction with the Leibniz Institute for Solid State Research
(IFW), Dresden, Germany. The IMRA is built on the common scientific interests and complementary
technical expertise and instrumentation of the CEM and IFW. Both centers have major research efforts
in spin transport, complex oxides, Heusler compounds, superconductors, carbon nanotubes, nanowires,
organic magnetic and electronic materials. The IMRA consists of three major components: individual
collaborations, annual joint workshops, and international internships. IMR financial support to CEM is
targeted for this exciting partnership.
This broad interaction started from a collaboration between a CEM/IFW pair of researchers over several
years. In 2011, a new NSF Materials World Network (MWN) grant was awarded to study two groups of
half metals, Heusler films and double perovskite single crystals by leveraging the unique capabilities of
complex film deposition at OSU and single crystal growth at IFW. In addition, two more collaborative
projects have developed, including low‐temperature STM and EPR studies of semiconductor nanowires.
INTERNATIONAL COLLABORATIONS
CENTER FOR EMERGENT MATERIALS INTERNATIONAL MATERIALS RESEARCH ALLIANCE (IMRA)
IMR Fiscal Year 2011-2012 Annual Report
Page 55
A second international initiative that was recently initiated by IMR targets the creation of several
linkages between IMR and the Institute of Optoelectronics Systems and Microtechnology (ISOM) of the
Universidad Politécnica de Madrid (UPM). First initiated in 2009 through a memorandum of
understanding between IMR and the UPM Vice Rector (Provost), the list of activities focused two areas,
electronic oxide materials and III‐V compounds for optoelectronics. At the outset of FY12, the first
visiting student from UPM, Ms. Gema Tabares, completed a three month stay, with her work resulting in
two joint journal publications and with that, efforts to locate third party funding between IMR and the
ISOM group are underway:
A second visiting scientist from ISOM, Dr. Javier Grandal, spent a year as a postdoctoral researcher
working in the Semiconductor Epitaxy and Analysis Lab (SEAL) on the second core topic of the original
MOU, which is the study of novel III‐V quantum dot structures for optoelectronic and photovoltaic
applications. This effort also resulted in published research and helped to land a new DoD project in this
same area for a new assistant research professor, Tyler Grassman. Dr. Grandal returned to Europe at
the end of FY12 and is now a research scientist at the Paul Drude Institute in Germany, and IMR
members are engaged in discussions for continued collaboration. With the success of the initial
collaborations, IMR Director Ringel visited UPM to discuss an expansion of the program and to plan for
joint funding. After meeting with Professor Adrian Hierro of ISOM and with Professors Carlos Algora and
Ignacio Rey‐Stolle of UPM’s highly regarded Solar Energy Institute (IES), an agreement to expand the
formal IMR‐UPM document was made (formalization is now in progress) to include certain activities
related to III‐V photovoltaics. A result was hosting Dr. Beatriz Galiana‐Blanco of UPM as a visiting
scientist, spending a full year collaborating with IMR Member of Technical Staff Dr. John Carlin and the
broad III‐V photovoltaics group within IMR. Her research focused on metal organic chemical vapor
deposition of III‐V/Si solar cells and she made substantial contributions to the IMR effort in this area. Dr.
Galiana recently returned to Spain where she became a tenure‐track faculty member in the physics
department of Universidad Carlos III de Madrid, and she is continuing her collaboration with Dr. Carlin
and others in the area of microscopy of III‐V/Si photovoltaic materials. Her efforts will yield several
publications, currently in preparation, which will be reported in future IMR annual reports. Due to the
initial grass‐roots success of the UPM collaboration, IMR is planning an NSF Materials World Network
proposal for the next cycle, which will greatly expand these interactions.
To date the UPM‐IMR collaboration has led to the following publications in print:
1) J. Grandal, T. J. Grassman, A. M. Carlin, M. R. Brenner, B. Galiana, J. A. Carlin, L.‐M. Yang, M. J.
Mills, S. A. Ringel, “Growth and characterization of InGaAs quantum dots on metamorphic
GaAsP templates by molecular beam epitaxy,” Proceedings of the 38th IEEE Photovoltaic
UNIVERSIDAD POLITÉCNICA DE MADRID
IMR Fiscal Year 2011-2012 Annual Report
Page 56
Specialists Conference, 001783 (2012).
2) E. Gur, G. Tabares, A. Arehart, J.M. Chauveau, A. Hierro and S.A. Ringel, “Deep levels in a‐
plane, high Mg‐content MgxZn1‐xO epitaxial layers grown by molecular beam epitaxy,” J. Appl.
Phys. 112, 123709 (2012).
3) S.A. Ringel, T. J. Grassman, A. M. Carlin, J. Grandal, C. Ratcliff, L.‐M. Yang and M. J. Mills,
“Spectrum‐optimized Si‐based III‐V multijunction photovoltaics,” Proceedings of SPIE: Physics,
Simulation, and Photonic Engineering of Photovoltaic Devices, 82560R (2012).
4) Emre Gür, G. Tabares, A. Arehart, J.M. Chauveau, A. Hierro, S.A. Ringel, High Level of Mg
Alloying Effects on the Deep Level Defects in MgxZn1‐xO, AVS 58th International Symposium &
Exhibition, Nashville, Tennessee, USA, 30 October‐4 November 2011.
IMR Fiscal Year 2011 -2012 Annual Report
Page 57
IMR's Research Enhancement Program provides different funding mechanisms to support innovative
research at The Ohio State University. Fiscal year 2012 introduced the OSU Materials Research Seed
Grant program, an exciting new program that allows IMR and other materials‐related centers within the
IMR umbrella on campus to better leverage resources and effectively seed innovative research projects,
as detailed below. IMR also continued its Facility Grant program, awarding two rounds of internal
research funding to assist OSU faculty with facility user access fees and related minor charges. Finally,
the IMR Industry Challenge Grant program continued to gain visibility, with another new award this
year.
Since 2007, IMR has funded 158 awards with a total of $2,069,065 through its Research Enhancement
Program. As seen in Appendix B, IMR seed funding through the various components of its Research
Enhancement Program has generated $20.3 million in new externally sponsored funding as of FY12, and
promises to successfully seed even more major research projects in the near future. It is important to
note that this 10:1 return on investment figure does not include the large block centers that were either
developed, led, or significantly contributed to, by IMR (e.g. the NSF MRSEC – Center for Emergent
Materials ($10.8M/6 years), the NSF NSEC ‐ Center for Affordable Nanoengineering of Polymer
Biomedical Devices ($12.5M/5 years), the $18.1M Ohio Research Scholars Program, the $18.3M Wright
Center for Photovoltaics Innovation and Commercialization, etc). The seed program is vital for initiating
the early stage work necessary for block programs of the future. Figure 5 shows the distribution of
cumulative funds from IMR Seed grant programs based on the primary affiliation of the lead investigator
for each project. Note that the expectation, and in many cases requirement that two or more
investigators must be affiliated with two or more departments, is not reflected in this figure. However,
even taking that into account the breadth of awards which is one expression of IMR’s multidisciplinary
impact is clear.
IMR RESEARCH ENHANCEMENT PROGRAM
IMR Fiscal Year 2011 -2012 Annual Report
Page 58
The OSU Materials Research Seed Grant Program provides internal research funding opportunities
through three distinct Funding Tiers designed to achieve the greatest impact for seeding and advancing
excellence in materials research of varying scopes. The OSU Materials Research Seed Grant Program is
jointly funded and managed by IMR, along with leadership from the Center for Emergent Materials
(CEM) and the Center for Electronic and Magnetic Nanoscale Composite Multifunctional Materials
(ENCOMM). As detailed in IMR’s Fiscal Year 2011 Annual Report, IMR’s goal in leading the creation of
this integrated seed program was to ensure that the most effective seed program was broadly available
to achieve better leveraging of valuable financial resources and to combine the best practices developed
by previously independent seed programs of IMR (Interdisciplinary Materials Research Grants – IMRGs),
and of ENCOMM and CEM The result was the creation of a united OSU Materials Research Seed Grant
Program, which is comprised of three Funding Tiers designed to achieve the greatest impact for seeding
Figure 5: Distribution of awards directly supported by IMR through the IMR Research Enhancement Program, Fiscal Years 2007 – 2012, as tracked by department affiliation of lead principal investigator per project. Includes IMR’s cash support of IMR Facility Grants, IMR Industry Challenge Grants, IMR Interdisciplinary Materials Research Grants, and IMR’s contributions to the OSU Materials Research Seed Grant Program (Exploratory Materials Research Grants, Multidisciplinary Team Building Grants, and Proto-IRGs).
OSU MATERIALS RESEARCH SEED GRANT PROGRAM
IMR Fiscal Year 2011 -2012 Annual Report
Page 59
excellence in materials research of varying scopes: Proto‐IRG grants for large teams, Multidisciplinary
Team Building Grants for smaller teams, and Exploratory Materials Research Grants that target higher
risk, individual investigator grants with a bias toward junior faculty members. The former IMR
Interdisciplinary Materials Research Grants (IMRG) program has been integrated specifically through the
Multidisciplinary Team Building Grants and the Exploratory Materials Research Grants.
In its first year, the OSU Materials Research Seed Grant Program awarded three Exploratory Materials
Research Grants ($40,000 each), one Multidisciplinary Team Building Grant ($60,000 each) and three
Proto‐IRG ($100,000 each) awards. These awards totaled $480,000 in direct funding to fifteen OSU
researchers in seven departments. The Proto‐IRG grants are awarded directly through OSU’s Center for
Emergent Materials (CEM).
Figure 6. Distribution of 2011-2012 OSU Materials Research Seed Grant Program awards, by department affiliation of the lead principal investigator per project. Includes all funding to the OSU Materials Research Seed Grant Program awards by ENCOMM, CEM, and IMR.
IMR Fiscal Year 2011 -2012 Annual Report
Page 60
2011‐2012 EXPLORATORY MATERIALS RESEARCH GRANTS
Exploratory Materials Research Grants provide funds up to $40,000/year per award in direct costs,
require one PI, and may have Co‐PIs and/or unfunded collaborators. The goal of the Exploratory
Materials Research Grants is to enable nascent materials research to emerge to the point of being
competitive for external funding. Three Exploratory Materials Research Grants were awarded this year:
Towards Si‐ Graphene Analogues: Development of Air‐ and Water‐Stable Layered Polysilanes, Principal
Investigator: Joshua Goldberger, Chemistry
Abstract: Since the discovery of single‐layer graphene’s unique electronic properties, there has been
great interest in the synthesis, properties, and application of single layers of graphene and other
inorganic two‐dimensional layered sheets. Even with graphene’s success, there are many potential
applications that would benefit with the advent of single‐layer sheet materials that have a direct and
tunable band gap, and can be chemically functionalized. These properties can be achieved in layered
polysilanes, the singleatom thick silicon sp3‐hybridized analogue of graphene. Application of these
layered polysilanes has been limited due to their relative ease of oxidation in air and water
environment. The focus of this proposal is to establish the synthetic chemistry of passivating these
layered polysilanes with organic functional groups for the purpose of increasing their resistance towards
oxidation in air and water. We will also study how the electronegativity of the passivating component
can be used to tune the band gap of the material. Creating air‐ and water‐stable derivatives of these
graphene analogues would enable their integration and study into a host of applications including
photovoltaics, spintronics, molecular electronics, and thermoelectrics.
Atomic Scale Characterization of Defects in Wide Bandgap Semiconductors, Principal Investigator: Jay
Gupta, Physics; Co‐Investigator: Leonard Brillson, Electrical & Computer Engineering
Abstract: A microscopic understanding of interfacial defects is important in a variety of emerging fields,
from silicon‐based nanoelectronics to advanced structural materials to next‐generation catalysts. The
principal objective of this seed proposal is to build core synergies for multi‐scale characterization of
interfacial defects in oxides and wide‐gap semiconductors. We propose to integrate scanned probe,
electron beam and optical methods to study interfacial defects in TiO2, with nm‐scale depth and lateral
resolution. Molecular beam epitaxy will be used to grow thin films with a variety of interfaces and
defect distributions. These studies will lay a foundation for understanding photocatalysis, charge
transport, and ferromagnetism in such materials. The target and scope of this seed research were
chosen to enhance future block funding proposals built on existing local programs.
Sonochemical Synthesis of Metal Hydrides, Principal Investigator: Yiying Wu, Chemistry
Abstract: The objective of this proposal is to understand the fundamental mechanism of the
sonochemical synthesis of metal hydrides and to develop sonochemistry into a general synthetic
IMR Fiscal Year 2011 -2012 Annual Report
Page 61
method. This exploratory proposal represents the first effort to utilize sonochemistry for the synthesis
of hydrides, which have well known applications in organic synthesis, rocket propellant, hydrogen
storage and rechargeable batteries. The proposal is based on our recent discovery that ultrasound
irradiation of an aqueous Cu2+ solution can produce pure CuH products. This is the first time that a
metal hydride has been synthesized through sonochemistry. We believe new materials chemistry can
come out from this study, which will expand our knowledge of sonochemistry and its applications in
materials synthesis. In the proposal, a reaction mechanism is proposed and a research plan is outlined
to examine this mechanism and to optimize the production yield of CuH. Moreover, we will explore
sonochemistry in non‐aqueous solutions in order to expand the synthetic method to other materials
such as LiNH2 and hydrazine, N2H4. These materials have important applications in hydrogen storage
and rocket propellant. Results and publications obtained from this seed project will help us pursue
external funding from NSF, AFOSR and DOE.
2011‐2012 MULTIDISCIPLINARY TEAM BUILDING GRANTS
Multidisciplinary Team Building Grants provide funds up to $60,000/year per award in direct costs,
require one PI and one Co‐PI from two different departments, and may have unfunded collaborators.
The goal of the Multidisciplinary Team Building Grants is to form multidisciplinary materials research
teams that can compete effectively for federal block‐funding opportunities. One Multidisciplinary Team
Building Grant was awarded this year:
Engineered Heart Tissue: A Multidisciplinary Team Centered on Scaffold Structure and Mechanics,
Principal Investigator: Jianjun Guan, Materials Science & Engineering; Co‐Investigators: Gunjan Agarwal,
Biomedical Engineering; Peter Anderson, Materials Science & Engineering
Abstract: A multidisciplinary team spanning three academic departments is proposed to enhance both
the intellectual merit and broader impacts of engineered heart tissue research at The Ohio State
University. The intellectual merit is to understand how the material design of 3D fiber scaffolds, coupled
with cells that can secrete collagen with tunable properties, can be used to direct stem cell
differentiation into heart cells. A structured set of key aims will demonstrate the ability of 3D fiber
arrays to regulate differentiation, and then correlate this differentiation with the material properties of
the collagen matrix and the material design of the fiber scaffold. This effort draws on recent
developments of how 2D material environments affect cell differentiation, by expanding to 3D fibrous
structures that are inherent in heart tissue. The broader impacts are to support two graduate students
in a unique educational setting not available in a single academic setting. It will identify and strengthen
a multidisciplinary team for future block grant funding not currently available to OSU researchers, and
foster new interaction between the medical and physical sciences at OSU.
IMR Fiscal Year 2011 -2012 Annual Report
Page 62
2011‐2012 PROTO‐IRG GRANTS
The Proto‐IRG research awards were integrated into the 2011‐2012 OSU Materials Research Seed Grant
Program. The Proto‐IRG grants have the goal of forming new Interdisciplinary Research Groups (IRGs)
that could be incorporated into the Center for Emergent Materials’ renewal proposal to the National
Science Foundation Materials Research Science and Engineering Center program in 2015. Proto‐IRG
Grants provide funds up to $100,000/year per award in direct costs, require one Principal Investigator
(PI) and two Co‐Principal Investigators (Co‐PIs), and may have unfunded collaborators. Three Proto‐IRG
Grants were awarded this year:
Thermal Spintronics: Engineering Spin Currents and Dissipation, Principal Investigator: Roberto Myers,
Materials Science & Engineering; Co‐Investigators: Joseph Heremans, Mechanical and Aerospace
Engineering; Ezekiel Johnston‐Halperin, Physics
Abstract: This proposal aims to continue the proto‐IRG begun last year to study the thermodynamics
of spin transport and magnetism in semiconductors through the development of new materials and
measurement schemes that combine spintronic materials with high sensitivity thermal transport and
calorimetry. In one year of work we have studied the thermal generation of local spin currents in
several materials, uncovering a material dependence to the spin‐Seebeck effect as well as
experimentally revealing the phonon‐driven nature of the microscopic physics. Additionally we have
begun development of new experimental schemes for studying thermal dissipation due to spin
injection/transport, as well as developed new materials for spin injection and magnetism in
semiconductors. In the second year, we will expand the scope of our experimental and theoretical
efforts through a team of internationally renowned collaborators. Projects include theoretical modeling
of our spin‐Seebeck data taking into account the recently uncovered phononspin physics, spin
calorimetry using free standing membranes to examine the dissipation due to optical spin injection,
and microwave spin‐injection into wide band gap semiconductors. Our proto‐IRG team will be
strengthened through continued co‐authored publication of our results in high impact journals and
their dissemination at international conferences.
Characterization & Synthesis of Mimetic Cell‐Secreted Exosomes for Cell Signaling, Principal
Investigator: Michael Paulaitis; Chemical & Biomolecular Engineering; Co‐Investigators: Andre Palmer,
Chemical & Biomolecular Engineering; Chia‐Hsiang Menq, Mechanical & Aerospace Engineering
Abstract: We propose to design and assemble synthetic vesicles that have the structural, mechanical,
and biophysical/chemical characteristics of cell‐derived exosomes – small (< 200 nm diameter)
membrane encapsulated particles secreted by cells in response to specific intracellular signals.
Exosomes have biological significance as intercellular signaling complexes, most notably, through their
ability to transmit genetic information that can effectively trigger the reprogramming of target cells.
Although the cell signaling functions attributed to exosomes depend critically on their specific
interactions with target cells and subsequent internalization of their contents, the targeting
mechanisms, as well as the biophysics of membrane adhesion and fusion, in general, are poorly
IMR Fiscal Year 2011 -2012 Annual Report
Page 63
understood. The overall objective of this project is to resolve these exosome‐specific targeting
mechanisms. To meet this objective, we will create synthetic exosomes that mimic properties of cell‐
derived exosomes considered to be important factors controlling these mechanisms, and then
systematically study how these properties affect exosome binding and fusion to cell membranes. An
important component of the project is to devise cell‐membrane models to study exosome binding and
fusion, and to measure the kinetics of these processes. Our long‐range goal is to predict the target cells
of biological exosomes as a means to control this mode of intercellular communications.
Magnetic Resonance Studies of Chromatin Dynamics and Function, Principal Investigator: Michael
Poirier, Physics; Co‐Investigators: Chris Hammel, Physics; Christopher Jaroniec, Chemistry
Abstract: Each human cell contains a complete genome where all of our genetic information is encoded
within DNA molecules that total a meter in length. Normal functioning cells use only a fraction of the
genes encoded into their DNA, which implies that each cell must control which genes are expressed.
This is accomplished by changes in the physical compaction of the DNA molecules into a highly
conserved structural polymer, chromatin. This implies that changes in the physical and material
properties of our genome are a central mechanism for regulating gene expression and stability. A
human chromosome contains a centimeter length DNA polymer that appears to be organized into a
multi‐level structure. However, beyond the first level of DNA organization, little is known about
chromosome structure and dynamics. We are investigating the structure and dynamics of an
intermediate level of chromosome organization, chromatin, by using established magnetic resonance
techniques, solid state NMR and EPR, and developing optically detected magnetic resonance at the
single molecule level. Renewal of this seed project will continue the development of a multi‐disciplinary
group that aims to understand the physical and material properties of entire human chromosomes.
IMR Fiscal Year 2011 -2012 Annual Report
Page 64
IMR has continued to offer the successful and popular IMR Facility Grants program, which provides
$2,000 per award to assist OSU faculty with facility user access fees and related minor charges
associated with conducting innovative materials‐allied research. The goal of this support is to enable a
key experimental result for inclusion in proposals, or to complete particularly critical research for
publication, that can create new funding streams. To date, IMR has awarded 110 Facility Grants totaling
$236,916 to support the research of 72 IMR members from 4 colleges and 11 academic departments.
In fiscal year 2012, IMR award 17 Facility Grants for a total of $34,000, with distribution by Principal
Investigator department shown in Figure 6. A full listing of these twenty new Facility Grants is provided
in Appendix D, including abstracts for each research project.
Figure 6. Distribution of Fiscal Year 2011-2012 IMR Facility Grant awards, by department affiliation of the lead Principal Investigator for each project.
IMR FACILITY GRANTS
IMR Fiscal Year 2011 -2012 Annual Report
Page 65
The IMR Industry Challenge Grants program was established to enhance the already strong
collaborations between OSU researchers and private industry partners in materials allied research,
targeting topics of particular strategic opportunity beyond existing activities. These grants provide one‐
to‐one matching funds up to $20,000 per year to allow OSU researchers to conduct research in
collaboration with private industry partners that will lead to major external proposal development. IMR
Industry Challenge Grants are eligible for renewal for a second year of funding. Four research programs
are currently receiving support through IMR Industry Challenge Grants, and we expect activity will grow
over time due to the increasing number of private‐public collaborations through several centers and
facilities.
Due to confidentiality agreements, we are limited in the amount of information we can share regarding
Industry Challenge Grants. During the 2012 Fiscal year, one new Industry Challenge Grant was awarded
to Dr. R. Sooryakumar, Professor of Physics, in support of his externally sponsored research project, also
providing $20,000 in direct cost share. This Industry Challenge Grant was so successful that this fiscal
year it has already generated a publication in the Journal of Applied Physics and a $345,000, three‐year
award from the Semiconductor Research Corporation (full citations for both are found in the report’s
appendix).
These three IMR Industry Challenge Grants received funding from IMR during FY 2012, the Sooryakumar
award is in its first year of funding and the Bong and Windl awards received second year support:
Synthesis of amphiphilic core‐shell latex emulsions from soy proteins and delivery of corrosion inhibitors
and biocides for coatings application, Lead Investigator: Dennis Bong, Chemistry
Thermo‐Mechanical Billouin Light Scattering Characterization of Nanometer Scale Interconnect Materials
and Structures, Lead Investigator: R. Sooryakumar, Physics
HOF Midwavelength Infrared Focal Plane Array Modeling, Lead Investigator: Wolfgang Windl, Materials
Science and Engineering (supporting a collaboration with L‐3 Communications Cincinnati Electronics)
IMR INDUSTRY CHALLENGE GRANTS
IMR Fiscal Year 2011 -2012 Annual Report
Page 66
The core facilities within IMR’s reach are extensive, and include state‐of‐the‐art research
instrumentation and resources. Core materials research facilities on OSU’s campus are supported by
IMR in a variety of ways. The largest core facility, the Nanotech West Laboratory, is fully operated and
managed by IMR, with Members of Technical Staff, administrative oversight and lab leadership all
provided by IMR. Other facilities, such as the ENCOMM NanoSystems Lab and the Center for Chemical
and Biophysical Dynamics, are supported by IMR through the employment of IMR Members of Technical
Staff, who provide on‐site technical support and facility management. IMR is also engaged with support
of other core facilities through prior Targeted Investment in Excellence (TIE) funding, including the
Semiconductor Epitaxy and Analysis Lab, the Electrical and Computer Engineering cleanroom and the
Campus Electron Optics Facility. The IMR is currently collaborating with the College of Engineering and
the Office of Research in the establishment of the new Center for Electron Microscopy and Analysis
(CEMAS), part of IMR’s Ohio Research Scholars portfolio detailed earlier in this report. IMR is also
actively working toward unifying the Campus Chemical Instrumentation Center (CCIC) within a greater
network to enhance accessibility across disciplines. The overall coordination of these and other facilities
through IMR’s domain (see http://imr.osu.edu/research/facilities/) continues to be a driving force for
IMR activities. This section provides updates of the core facilities that have been most involved with
IMR for this past year.
Located on West Campus, the Ohio State Nanotech West Laboratory is the largest and most
comprehensive micro‐ and nanofabrication user facility in the state of Ohio. It houses a 6,000 square
foot class 100 cleanroom with a comprehensive 100mm wafer process flow, a 5,000 square foot
Biohybrid Lab, and additional laboratory, administrative, and support space. The impact of Nanotech
West on the OSU materials research community is substantial. In FY12, over 250 users representing
nearly 100 funded projects of 50 OSU PIs used Nanotech West; the total multi‐year research funding of
these projects is in excess of $49M. Activities in these projects spanned the entire range of cutting‐edge
materials research, from high‐frequency GaN/AlGaN electronics, to solar cells, to microfluidics and
biotechnology, to the fabrication of structures for use in the study of basic physics and chemistry.
Fiscal year 2012 was a year of substantial growth of activities at the Nanotech West Lab, perhaps most
appropriately measured by its total user fee income of $493k, an 18% increase over FY11. While much
of this increase was due to increased Ohio State program usage, it is important to note that several OSU
programs that made new and heavy use of Nanotech West also have significant industry collaborations.
CORE MATERIALS RESEARCH FACILITIES’ UPDATES
NANOTECH WEST LABORATORY
IMR Fiscal Year 2011 -2012 Annual Report
Page 67
These specific programs include collaborations with Energy Focus (Solon, OH), GreenField Solar (Oberlin,
OH), and Emcore (Albuquerque, NM), to name a few. Over twenty companies, nearly all in the state of
Ohio and nearly all startup, small, or medium‐sized, used Nanotech West directly in FY12. Month‐to‐
month, industry activities at Nanotech West now consistently represent approximately 25% of total
Laboratory activity, or 40% by user fee income. Five other Ohio universities (Case Western, Wright
State, University of Dayton, Ohio University, and Denison) and two not‐for‐profits also used the Lab in
FY12.
Figure 7. Nanotech West Laboratory Billed Usage by Fiscal Year Quarter, FY2007-FY2012
Breakdown of the Ohio State usage according to user fees was approximately 76% College of
Engineering, 12% Office of Research, and 12% Natural and Mathematical Sciences (an Academic Division
of the College of Arts and Sciences). The OSU Medicine and Pharmacy academic groups were each less
than 1% of usage based on user fee income.
Nanotech West has a state‐of‐the‐art fabrication and characterization capability. Flagship capabilities
include a Vistec EBPG 5000 high‐resolution electron beam nanolithography tool with a resolution in
resist of sub‐20 nm; its registration (alignment) accuracy is approximately 60 nm (3‐sigma), and an
IMR Fiscal Year 2011 -2012 Annual Report
Page 68
Aixtron 3x2” metalorganic III‐V chemical vapor (MOCVD) deposition tool designed for university‐industry
transitions and basic research. The MOCVD system, which is a accessible to external and internal users,
has the capability to grow In‐, Ga‐, Al – phosphides, arsenides and antimonides for solar, electronic,
optical and basic research. Other primary capabilities at Nanotech West include:
I‐line optical stepper photolithography tool capable of ~0.60 micron resolution and the
handling of odd sized parts [GCA 6100C]
Atomic layer deposition [Picosun SunALE R‐150B]
Field‐emission scanning electron microscopy [Carl Zeiss Ultra 55 Plus]
Inductively coupled plasma (ICP) reactive ion etching [Plasma‐Therm SLR 770] and
several other plasma etch tools
A five‐gun RF/DC load‐locked sputter deposition system [AJA International Orion]
Six‐pocket electron gun evaporator [CHA Solution System]
Wafer bonding and micro– and nanoimprint lithography [EVG 520HE]
I‐V, C‐V, L‐I‐V, microfluidic, and solar device testing
Atomic force microscopy [Veeco 3100, NanoInk, Asylum BioAFM]
A full‐flow 100 mm process capability including photolithography, wet/dry etching and
advanced wafer cleaning, LPCVD nitride deposition, diffusions, oxidation, rapid thermal
annealing, optical microscopy, and metrology.
Just as important as its equipment list, Nanotech West is supported by 7 full‐time engineering and 1
administrative Core Staff and three Associate Staff members. Nearly all the Core engineering staff has
industry experience in semiconductor or closely related fields; a list of former employers of the Core
staff includes IBM, Intel, TriQuint Semiconductor, Cree, and Amberwave. The Associate Staff members
are NSF NSEC post‐docs who have primary responsibilities in the Biohybrid Laboratory.
New tool installations in FY12 at Nanotech West included:
A Plasma‐Therm 790 plasma‐enhanced chemical vapor deposition (PECVD) tool
An Oxford X‐Max silicon drift detector (SDD) for X‐ray materials analysis on the
Carl Zeiss field‐emission scanning electron microscope
An MBraun nitrogen‐purged glovebox
A Diener Pico low‐damage plasma asher
A second AG Associates rapid thermal annealing (RTA) system
IMR Fiscal Year 2011 -2012 Annual Report
Page 69
Funding for all but one these purchases were from the final capital dollars from the Ohio Wright Center
for Photovoltaics Innovation and Commercialization (PVIC) and through industrial membership fees.
The RTA was provided by Prof. Marv White, member of the National Academy of Engineering, who has
joined Ohio State after a long and distinguished career at Lehigh University where he was the director of
the Sherman Fairchild Microelectronics Center.
The Plasma‐Therm tool (tool code CVD02), a refurbished unit, was purchased and installed to provide
surface passivations for semiconductors, deposit anti‐reflective coatings for photovoltaics and other
optical devices, and provide dielectrics for general electronic device fabrication. Gases plumbed to the
system included 2% silane (in 98% helium), nitrous oxide (N2O), and ammonia (NH3). As of June 2012,
the end of FY12, the tool was depositing thin films of silicon oxide and silicon nitride for a wide variety of
projects, and made its first runs of silicon oxynitrides.
The other new tools fulfill specific needs at Nanotech West. The fast, sensitive X‐ray detector for SEM02
greatly expands the materials analysis capabilities at the Lab, while the glove box greatly speeds the
changing of precursor sources for the Picosun atomic layer deposition (ALD) tool. The Diener plasma
asher fills a need for low‐damage plasma cleaning (usually before metallizations) for sensitive device
fabrication steps such as ohmic contacts and transistor gate fabrication steps. The second RTA in the lab
will be reserved for silicon and (with quartzware changes) other specific clean processing steps.
In December 2011 the Nanotech West Lab went live with its newly designed web site based on
Wordpress, a content management tool. The redesigned site allows Nanotech West staff to easily post
news articles, change and add content, and link web pages to the Lab database. Graphically, the new
site matches the Nanotech West brochure, which was redesigned and printed in the previous year.
The Nanotech West Biohybrid Laboratory (BHL) continues to be a very busy place, supporting a
significant portion of the activities of the OSU NSF‐sponsored Nanoscale Science and Engineering Center
(NSEC), the Center for Affordable Nanoengineering of Polymeric Biomedical Devices, as well as four
startup companies in this research area. Common use equipment in the BHL and other non‐cleanroom
space includes the AFMs mentioned earlier, a Hitachi S‐3000H scanning electron microscope, a
Brookhaven dynamic light scattering (DLS) system for the measurement of nanoparticle sizes, two
biosafety cabinets, an autoclave, and one of two cell culture rooms. Equipment and facilities particular
to the NSEC program include a dedicated cell culture room, a scanning laser confocal microscope, an
optical tweezer setup for manipulation of nanoparticles, and micromilling and femtosecond ablation
tools for rapid prototyping of micro‐ and nanostructures, especially for microfluidics.
FY12 was the second year in which NTW used a “superuser” system to assist in the training of new users
on tools. In this system, advanced graduate students or postdoctoral researchers generally perform the
initial training of new users on tools, and these users are then given a final “check‐out” by the staff
IMR Fiscal Year 2011 -2012 Annual Report
Page 70
member in charge. The superusers are selected for their proven skills in the operation of the tools in
question, and are modestly compensated for their time with credit toward their monthly user fee bill; in
addition, they usually cite this role in the lab on their resumes. The superusers free up regular staff time
with the primary goal of increasing equipment uptime. The initial system of six superusers has worked
out quite successfully, despite turnover of personnel due to graduation (being mostly senior graduate
students, superusers are often close to finishing) and the concept will be expanded in FY13 to additional
tools. Meanwhile, in FY12 Nanotech West staff hosted a regular “pizza meeting” for lunch that served
as a forum for all users to communicate with staff members about laboratory operational issues and
look for ways to improve them, and hear short talks from their fellow users about their projects.
This year Nanotech West hired a new Laboratory Services Coordinator, Peter Janney III, who has years of
experience in the DVD and CD replication industry, especially in the area of major equipment
installations and maintenance. Pete very quickly became an essential staff member, leading the
installations of the new PECVD, plasma ash, and RTA tools.
Plans for Nanotech West for FY13 include hiring two part‐time staff members, one of whom will
primarily support MOCVD operations (and who will be a full‐time person, cost‐shared with two major
photovoltaics user projects) and one of whom will support IT operations. The Lab also plans to greatly
Nanotech West staff, Laboratory Services Coordinators Derek Ditmer (left) and Pete Janney (right) stand near the newly installed and operational Plasma‐Therm 790 PECVD installed in Bay 3 of the Nanotech West Cleanroom. The tool began depositing silicon oxides and nitrides for several applications in late
FY12.
IMR Fiscal Year 2011 -2012 Annual Report
Page 71
expand its online web site with more detailed process and equipment information targeted at helping
new and prospective users. Other priorities include increasing Ohio industry usage, documentation of
Lab operations and processes, and completing the revision and streamlining of its orientation process
for new users.
During the past year the ENCOMM NanoSystems Laboratory revised its reporting line to become a
facility center within the Department of Physics, eliminating its formal linkage to ENCOMM, which is a
center within the Division of Natural and Mathematical Sciences (D‐NMS) of the College of Arts and
Sciences, to become a core department facility within the Physics Department. This was done to
streamline various reporting lines for several staff positions. ENSL is now known as the NanoSystems
Laboratory (NSL) but otherwise functions fully as a core facility within the IMR network of core facilities,
as it has since its inception.
NANOSYSTEMS LABORATORY OVERVIEW
NanoSystems Laboratory (NSL) is an established OSU user facility located on the Columbus campus of
The Ohio State University in the Physics Research Building. NSL is one of the research infrastructure
facilities falling under the IMR umbrella and the NSL Director, Dr. Denis V. Pelekhov, is an IMR member
of technical staff. The facility is open to all academic and industrial customers on a user fee basis. The
primary goal of NSL is to provide users with access to advanced material characterization and fabrication
tools for research and development applications. Access to equipment is granted to users upon
completion of equipment and safety training, and experienced users are granted after‐hours access.
NSL operates a diverse suite of research instrumentation and research capabilities available include
focused ion beam/scanning electron microscopy, e‐beam lithography, nanomanipulation, EDS X‐ray
microanalysis, X‐ray diffractometry, SQUID magnetometry, conventional atomic force/magnetic force
microscopy, cryogenic atomic force/magnetic force microscopy, Physical Vapor material deposition, Low
‐Temperature/High Magnetic field magnetotransport measurements, Langmuir‐Blodgett trough
monolayer deposition and clean room facilities.
NANOSYSTEMS LABORATORY
IMR Fiscal Year 2011 -2012 Annual Report
Page 72
NANOSYSTEMS LABORATORY HIGHLIGHTS AND ACCOMPLISHMENTS
DURING FY2012
During Fiscal Year 2012, NanoSystems Laboratory experienced continued growth in the number of staff
members, the number of available instruments, the volume of provided services and the number of
customers served. In FY 2012, NSL supported 163 users – a 39% increase compared to FY 2011 ‐ from 48
research groups, including four industry partners. Another notable change is that in FY 2012, 38 NSL
users were women, a 72% increase compared to FY2011. Among the OSU research groups, NSL
benefited 120 funded research projects with an estimated total funding of $17 million. NSL provided
research services to users valued at $169,000 in FY 2012, a 12% increase in the volume of services
provided to facility users compared to FY 2011.
Several new equipment acquisitions were made by NSL during FY2012 to further enhance its broad
capabilities in material characterization and fabrication. In October 2011, NSL commissioned a new Kurt
J. Lesker (Clairton, PA) Lab‐18 physical vapor deposition system that delivers a combined capability for
magnetron sputtering and e‐beam evaporation in the same vacuum chamber. The purchase of the
system was made possible by funding through the OSU Targeted Initiative in Excellence award in
advanced materials. The system is outfitted with three 3” sputtering sources and a 6‐pocker e‐beam
evaporation source. In addition, a Kaufman & Robinson, Inc. KDC 40 ion source is installed in the
loadlock of the system. The source can be used for sample surface etching and cleaning. The system
was delivered in September 2011 and is installed in the NSL clean room.
Page 75
Two new acquisitions this year greatly enhanced the NanoSystems Laboratory’s research capabilities: the Magneto‐Optical Kerr microscope (left) and the teraherz time domain spectrometer (right)
IMR Fiscal Year 2011 -2012 Annual Report
Page 73
In March 2012, NSL commissioned a new Physical Properties Measurement System (PPMS) by Quantum
Design USA. Instrument acquisition was funded by a Major Research Instrumentation grant awarded by
the National Science Foundation to a group of OSU researchers led by Professor P. Chris Hammel. The
system is capable of conducting resistivity, AC transport (ACT), AC magnetic susceptibility (ACMS),
Vibrating Sample Magnetometry (VSM) and torque magnetometery measurements. The VSM capability
of the PPMS comes with both large and small bore coil sets and a high temperature oven option with
the capability for sample heating up to 1100 K. All measurements can be conducted in magnetic fields
of up to 14 T and over the temperature range between 1.9 K and 400 K (1100 K if VSM oven is used). To
reduce the costs of system operation, the instrument is equipped with a helium reliquifier that
dramatically reduces liquid helium consumption. An additional component of the PPMS is the cryogenic
Atomic Force Microscope/Magnetic Force Microscope (AFM/MFM) delivered by ION‐TOF GmbH. The
instrument will allow scanned probe microscopy surface studies of samples in magnetic fields of up to
14 T and over the temperature range between 1.9 K and 400 K. Such a capability is unavailable on most
commercial AFM/MFM systems. Both the PPMS and the cryogenic AFM/MFM have become extremely
popular among NSL users, with the system operating 10‐15 hours a day, on average, during summer
2012.
During FY 2012, NSL has also acquired a new teraherz time domain spectrometer (THz‐TDS) for the study
of solid state materials. The instrument has been provided by Lake Shore Cryotronics, Inc. as a part of a
collaborative effort between Lake Shore and the OSU NSF Center for the Emergent Materials (CEM) to
develop a commercial THz spectrometer. This collaboration is funded through a $1 million Fiscal Year
2011 Ohio Third Frontier Sensors Program award, Cost‐effective Terahertz‐based Characterization
System for Semiconductor Materials Research. The current instrument is capable of conducting THz
spectroscopy at room temperature under ambient conditions. In the future this instrument will be
replaced with a low temperature THz spectrometer prototype that is currently being developed by Lake
Shore.
In September 2011, NSL also acquired an Evico Magnetics Magneto‐Optical Kerr microscope, another
purchase made possible by the OSU TIE funding. The Kerr microscope is a system for rapid magnetic
domain visualization in ferromagnetic samples. It consists of a high resolution optical microscope
combined with a compact electro magnet capable of generating magnetic fields as high as 1 T applied in
the plane of the sample surface. Enhanced by image processing and equipped with electromagnets,
domains and magnetization processes on all kinds of ferro‐ and ferrimagnetic materials can be studied
at variable magnifications down to the resolution limit of optical microscopy, which, with the current
optical setup, is as low as 300 nm depending on the sample. This is a unique capability for real‐time
visualization of domain formation and evolution in an applied magnetic field that has become available
to OSU researchers.
As a part of the NSL mission for assisting the OSU material science community in expanding knowledge
of novel material characterization techniques, NSL staff also worked with the Center for Emergent
Materials in the organization of a three‐day Workshop on Magnetic Domains with Dr. Rudolf Schäfer
IMR Fiscal Year 2011 -2012 Annual Report
Page 74
(IFW, Dresden, Germany) on September 19‐21, 2011. More information on this workshop is detailed in
the Outreach and Education Activities section of this report.
Through a partnership between the IMR and the departments of Chemistry and Biochemistry, the
Center for Chemical and Biophysical Dynamics (CCBD) operates as an open user lab offering
instrumentation to perform ultrafast laser spectrometry to reveal the complex evolution of light quanta
absorbed by matter. The mission of the CCBD is to provide users with access to laser spectrometry
instrumentation, including all the equipment necessary to measure transient UV/Vis, fluorescence,
infrared, and stimulated Raman spectra on femto‐, pico‐, and nanosecond time scale. Researchers use
CCBD instrumentation to perform several forms of ultrafast laser spectrometry to reveal the complex
evolution of light quanta absorbed by matter. By measuring with high temporal precision the changes in
characteristic spectral signatures of photogenerated intermediates, the sequence of individual events
can be discerned, the information which otherwise is smeared and integrated over time. The evolution
steps provide a rich harvest of knowledge about the energy flow and mechanisms of transformations in
biological, chemical, physical, and materials systems. This knowledge is invaluable for learning how
photoreactive systems work in nature as well as for optimizing the energy transfer and eliminating
energy losses in artificial systems and materials.
CCBD HIGHLIGHTS AND ACCOMPLISHMENTS DURING FY2012
The Center for Chemical and Biophysical Dynamics has seen definite growth and improvements in its
first full year of operating as an open user research facility. One of the biggest accomplishments for the
CCBD during Fiscal Year 2012 is the increase in user fee revenues, which at approximately $12,000
nearly tripled FY 2011’s billed user fees. During Fiscal Year 2012, three more research groups joined the
CCBD as regular users. A concept of “superusers” was implemented and a member from each active
research group was thoroughly trained to use the CCBD instruments independently at a high level. Five
graduate students defended their Ph.D. theses based on research projects completed at CCBD. Three
graduates landed academic jobs as junior professors and two continued research as postdoctoral fellows
during this reporting period. An international postdoctoral researcher and two international scholars
were also trained this year at CCBD and went on to have professional success. The postdoctoral
researcher acquired an academic job, and one of the visiting scholars has attained habilitation based in
part on the research completed at CCBD. The research conducted at CCBD has inspired 25 peer
reviewed publications and presentations, and the corresponding list of publications is included in this
report’s Appendices.
CENTER FOR CHEMICAL AND BIOPHYSICAL DYNAMICS (CCBD)
IMR Fiscal Year 2011 -2012 Annual Report
Page 75
This year, a CCBD Advisory Committee was formed to oversee major activities of the facility and to
facilitate the alignment of CCBD capabilities to the needs of IMR researchers. Committee members
include Dr. Susan Olesik, Chair of the Departments of Chemistry and Biochemistry, IMR Director Dr.
Steven Ringel, and several professors whose research groups are current or potential users of the CCBD
facility. The group meets monthly to identify user needs, instrumentation upgrades or concerns, priority
experiments, and prospective users. CCBD Director, Prof. Terry Gustafson resumed his duties after
spending several months of his sabbatical leave in Auckland, New Zealand. He continues his active
research focusing on multi‐dimensional femtosecond infrared and Raman spectroscopy.
On February 8th, CCBD participated in a webinar organized by the NSF Division of Materials Research. In
response to the 2011 Committee of Visitors report on the Division of Materials Research, the Advisory
Committee of the Mathematical and Physical Sciences Directorate at the National Science Foundation
has charged a subcommittee with looking at future instrumentation and facility needs in the materials
research community. As members of the chemistry community, CCBD and Research Support Services at
the Department of Chemistry provided their input based on a long‐term expertise running mid‐size
multiuser facilities. Two key topics have been discussed: “What types of research infrastructure is
needed to support the Materials research mission of the Division” and “What instrumentation research
and development is needed to support the Materials research mission of the Division?”
Following 2011’s equipment acquisitions which included a PicoHarp 300 picosecond histogram
accumulating real‐time processor with USB interface, an Excelitas Technologies single photon counting
module, and an Olympus IX 71 inverted microscope, the CCBD research facility continued to make
important upgrades and enhancements to its instrumentation.
The CCBD facility’s time‐correlated single photon counting setup has been upgraded to include a
newer version of the hardware and more reliable software running on a computer from a recent
generation of Dell machines. The new semiconductor single photon counting module extends
the spectral detection range from Visible to near IR (ca. 1100 nm) with sub‐nanosecond time
resolution. A confocal microscope attached to the instrument allows more efficient collection of
fluorescence from small‐scale biological or semiconductor films and devices. An alternative
optical pump scheme using a focusing mirror instead of the lens/ microscope objective allows
one to use UV light below 350 nm to excite photoluminescence.
A mobile turn‐key subnanosecond pulsed laser‐emitting diode of EPLED series from Edinburg
Instruments emitting at 360 nm (loaned to CCBD by the group of Prof. Claudia Turro in the
department of Chemistry) adds extra convenience to the setup. The LED is extremely easy to
operate. In contrast to a laser, it does not require any alignment and is ready to fire in 60
seconds. It has been tested as an excitation source for Time‐Correlated Single Photon Counting
fluorescence lifetime measurements in Rhodamine 6G solution. The test showed that this
particular LED is suited mostly for highly fluorescent compound due to its limited output energy.
IMR Fiscal Year 2011 -2012 Annual Report
Page 76
The femtosecond time‐resolved mid infrared spectrometer was upgraded to extend its infrared
probe spectral coverage from 3‐9 m to 3‐14 m with the low frequency limit of ca. 700 cm‐1.
This upgrade makes it possible for researchers to study low energy vibrations characteristic for
such processes as photochemical generation of alkenes as well as photochemically induced
isomerization. CCBD is proud to be among very few groups in the world capable of doing such
experiments. Transient spectra at time delays from 100 femtoseconds to 5 picoseconds for
trans‐beta‐methylstyrene in CCl4 excited by a 100 fs laser pulse at 270 nm were recorded to
show photoinduced isomerization. Based on this upgrade, a proposal has been submitted to
obtain NSF funding for studying reactive intermediates. This proposal has been approved. The
upgraded setup has been also used during a six‐week visit of a team of international scholars to
CCBD. The team has been experimenting with a series of photochemically‐ labile diazo
compounds. The results are being analyzed and prepared for publication.
Another CCBD facility enhancement project has been carried out to upgrade the femtosecond
transient UV/Vis absorption spectrometer. Before, the long‐wavelength probe limit for the
pump‐probe UV/Vis transient absorption spectrometer was ca. 750 nm. On the other hand,
several spectral signature bands, especially for transient metal compounds that are of interest
as materials for solar photovoltaics, require longer probe wavelengths. Integrating a 512‐pixel
InGaAs array detector into the data acquisition system extends its spectral coverage into the
near IR range (1100 – 1300 nm). Flexible mathematics of data collection allows one to use the
spectrometer both in transmission and reflection mode for materials‐related experiments. The
InGaAs array detector was tested and the related software has been developed for data
collection and analysis at those wavelengths. A visiting student from Japan has been assigned to
work on this project under the guidance of the CCBD Manager. The design and engineering of
the timed communication with the InGaAs detector via LabView software and the optical layout
have been completed. Debugging and tests continue to improve sensitivity and signal‐to noise
ratio. As the next step glasses, crystals and photonic optical fibers will be tested to find the best
near IR continuum generating material. After that, the new addition will be integrated into the
existing femtosecond time‐resolved spectrometer.
In parallel, the Kinetic detection experimental setup at the CCBD has been adjusted to use single
‐wavelength excitation and detection in the 1500‐2600 nm spectral range. Optical elements
have been replaced and set up as well as an InSb detector. Single‐wavelength near IR transient
absorption experiments (Excitation: 800; 1300; 1500 nm (various polarizations), Detection: 1500
nm) have been performed to study relaxation dynamics of GaN nanowires on Si at various
excitation wavelengths. These data will also help to test the InGaAs array detector – based
experimental setup.
IMR Fiscal Year 2011-2012 Annual Report
Page 77
As a maturing community, IMR and its members are engaged with outreach activities like never before.
This is motivated by several factors, including increasing the awareness of materials science and
engineering to K‐12 and undergraduate students, educating teachers, providing mechanisms for
broadened appreciation of the breadth of what is materials today both inside OSU and globally, and the
desire to connect students with the external community. Our signature conference event, OSU
Materials Week, is now stronger and larger than ever. Through our partner centers, such as CEM,
CANPBD and others, there are now strong and well organized outreach programs for undergraduates,
including a large REU (Research Experience for Undergraduates) site. Several of our faculty have been
particularly innovative, notably Prof. Glenn Daehn through his leadership of an ASM‐sponsored camp for
high school teachers. This section has been expanded compared to prior reports to better reflect the
significance of these activities.
The 2011 OSU Materials Week conference took place September 12‐14, 2011 at the Ohio Union on
OSU’s Columbus campus. This fourth annual OSU Materials Week was again organized by the IMR,
along with the Center for Emergent Materials, OSU’s NSF MRSEC program. This year’s attendance set a
new record, with 450 attendees including OSU faculty, staff, and students and representatives from 13
other universities, 36 industry collaborators, and national labs and state entities. While our original goal
for Materials Week was to host a largely internal conference to educate our community on the quality
and breadth of materials research at OSU, the growing inclusion of speakers from outside of OSU
coupled with the large external attendance is a testament to the appeal and impact of IMR‐wide
materials research.
A wide variety of materials‐allied disciplines were represented at 2011 OSU Materials Week, which
included 42 presentations by international authorities in plenary sessions on Carbon‐Based Materials
and Biological Materials: From the Nano to Macro Scale, and technical sessions on 2‐D Materials Beyond
Graphene, Materials Design and Catalysis, Thermal Spintronics, and Terahertz (THz) Materials.
OUTREACH AND ENGAGEMENT ACTIVITIES
2011 OSU MATERIALS WEEK CONFERENCE
IMR Fiscal Year 2011 -2012 Annual Report
Page 78
A highlight of this year’s Materials Week was a day‐long symposium organized by the Ohio
Manufacturing Institute focused on New Approaches to Lighter, Sustainable Vehicle Materials. This
heavily industry‐focused day attracted dozens of attendees from automotive manufacturers and
suppliers, who joined university engineers and national experts to discuss the role of new materials in
vehicle structure and design.
Two evening student poster session receptions provided a venue for OSU students and postdoctoral
researchers to show off their recent contributions to research. Over 100 research posters were
exhibited at the student poster sessions, and ten OSU students received Best Student Poster awards at a
luncheon reception later that week.
Highlights of this year’s OSU Materials Week included OSU President Dr. Gordon Gee touring a Lotus lightweight vehi‐cle (top left), a wide range of technical sessions (top right), and two student poster sessions (middle) resulting in ten
Best Poster awards (bottom)
IMR Fiscal Year 2011 -2012 Annual Report
Page 79
The Institute for Materials Research hosts a colloquia series each academic year, bringing internationally
renowned materials researchers to Ohio State to share the latest findings in their research and have
fruitful discussions with OSU faculty and students. Recognizing that departments, colleges and centers
host a variety of seminars, the goal for the IMR colloquium series is to bring to campus speakers who
have broader appeal to a multidisciplinary audience, to the extent possible. The 2011‐2012 IMR
Colloquia Series brought three outstanding, acclaimed materials scientists in areas of strategic interest
to the IMR community:
Materials Tomography and Femtosecond Lasers, Tresa M. Pollock, Alcoa Professor of Materials and
Department Chair, Materials Department, University of California Santa Barbara, Thursday, May 10,
2012
Abstract: Optimization of the topological features of materials is often key to achieving exceptional
material properties. Several examples of the use of 3‐D structural information for materials
optimization will be shown. A grand challenge, however, is efficient acquisition of 3‐D materials
information. A new tomography approach for nm‐scale characterization of materials over mm3‐scale
volumes will be presented. The use of femtosecond lasers allows for in‐situ layer‐by‐layer material
ablation with high material removal rates. The high pulse frequency (1 kHz) of ultra‐short (150 fs) laser
pulses can induce material ablation with virtually no thermal damage to the surrounding area. This
technique has been demonstrated ex‐situ with optical imaging and more recently in‐situ with a
“TriBeam” approach that combines the femtosecond laser within a focused ion beam platform to permit
high resolution imaging, as well as crystallographic and elemental analysis. Early 3D datasets from the
TriBeam system demonstrate acquisition rates 4 to 6 orders of magnitude faster than focused ion
beam systems.
2011‐2012 IMR COLLOQUIA SERIES
The 2011‐2012 IMR Colloquia Series included talks by Dr. Tresa Pollock, UC Santa Barbara, shown at left with IMR Associate Director Michael Mills and Materials Science and Engineering Professor J.C. Zhao, and Dr. Samuel Stupp, Northwestern University, shown right with Chemistry Professor Josh
Goldberger and IMR Director Steve Ringel
IMR Fiscal Year 2011 -2012 Annual Report
Page 80
Made in the U.S.A. – Photovoltaic Energy Solutions, John P. Benner, Executive Director, Bay Area
Photovoltaic Consortium and Stanford University, Tuesday, March 6, 2012
Abstract: News of excess production capacity, predatory pricing, and bankruptcies in the solar energy
business have replaced the exhilaration over 65% compound annual growth rates, record stock
valuations and announcements of large‐scale photovoltaic applications. However, rumors of the death
of this industry are greatly exaggerated. The photovoltaic business is healthy with enviable growth rates
advancing technology on a trajectory to deliver electricity at prices on par with utility rates before 2020.
Unfortunately, U.S. market share of photovoltaic module production continues to decline, clouding the
vision of a nation moving toward energy self‐sufficiency. To address this challenge, the Department of
Energy launched the SunShot Initiative. Advanced Manufacturing Partnerships, the largest project in
SunShot, include a University‐Focused topic to support universities in industry‐relevant R&D, guided by
industry members. The challenge demands great innovation, as the dominant producer, China, provides
manufacturers with substantial benefits from fewer restrictions, faster permitting processes, lower cost
labor, a complete and local supply chain and substantial financial incentives. U.S. manufacturing
leadership must be built upon superior, more innovative technologies delivered at all stages of the value
chain. Great innovation is frequently traced to events bringing together individuals from diverse
backgrounds. The Bay Area Photovoltaic Consortium (BAPVC) provides a vibrant forum for interaction
among industry and academic experts to address critical challenges in PV manufacturing. BAPVC will
find and fund the best university research teams to develop materials, device structures and processes
for manufacturing by our industry members. This presentation will discuss the innovation,
infrastructure and incentives needed to build a leading photovoltaic manufacturing base in the United
States.
Self‐Assembly in Materials Chemistry, Samuel I. Stupp, Departments of Chemistry, Materials Science
and Engineering, and Medicine, Northwestern University, Wednesday, November 9, 2011
Abstract: Self‐assembly has emerged over the past two decades as a chemical strategy to create
materials and devices. Based on lessons from biological systems, this strategy could be extraordinarily
useful to craft highly functional materials from non‐covalent assemblies of molecules and hybrid
structures that imitate biomineralization. In order to harness the potential of the strategy in materials
chemistry, the underlying science needed is a deep understanding of self‐assembly codes based on both
structure and external forces. So far self‐assembly approaches have been developed mostly to organize
molecules on surfaces, create supramolecular nanostructures with internal order, and to generate three
dimensional patterns using phase separation of macromolecules. This lecture will illustrate self‐
assembly strategies to create more complex structures of interest in energy and medicine that have
hierarchical order across scales. In these systems supramolecular self‐assembly codes act synergistically
with other forces to generate functional systems.
IMR Fiscal Year 2011 -2012 Annual Report
Page 81
Throughout each year, there are occasions where IMR is approached regarding special seminars. This
section provides a brief summary of those seminars. Note that the Center for Emergent Materials’
seminar series is partially supported by IMR, and therefore is included here.
IMR SPECIAL SEMINARS
In addition to the IMR Colloquia Series, the IMR also hosted a special seminar this fiscal year:
Chemical Strategies in Nanoscience, Stanislaus S. Wong, Professor, Department of Chemistry, State
University of New York (SUNY) at Stony Brook and Condensed Matter Physics and Materials Sciences
Department, Brookhaven National Laboratory, September 30, 2011
Abstract: In the first part of the talk, we update selected chemical strategies used for the focused
functionalization of single walled carbon nanotube (SWNT) surfaces. In recent years, SWNTs have been
treated as legitimate nanoscale chemical reagents. Hence, herein we seek to understand, from a
structural and mechanistic perspective, the breadth and types of controlled covalent reactions SWNTs
can undergo in solution phase, not only at ends and defect sites but also along sidewalls. Controllable
chemical functionalization suggests that the unique optical, electronic and mechanical properties of
SWNTs can be much more readily tuned than ever before, with key implications for the generation of
truly functional nanoscale working devices. In the second part of the talk, environmentally friendly
synthetic methodologies have gradually been implemented as viable techniques in the synthesis of a
range of nanostructures. In this work, we focus on the applications of green chemistry principles to the
synthesis of metal‐containing nanostructures. In particular, we describe advances in the use of template
‐directed techniques as environmentally sound, socially responsible, and cost‐effective methodologies
that allow us to generate nanomaterials without the need to sacrifice on sample quality, purity,
crystallinity, in addition to control over size and shape. We have subsequently created a number of
different potential architecture systems for gaining valuable insights into fuel cell and photovoltaic
performance.
CEM SEMINAR SERIES
The Center for Emergent Materials hosts a seminar series annually, and during Fiscal Year 2012 the
MRSEC program hosted or co‐hosted with other OSU departments five seminars featuring experts
discussing research relevant to the CEM’s mission. The Institute for Materials Research provides direct
cost share support to the CEM, and a portion of those funds cover the CEM Seminar Series’ expenses.
OTHER IMR‐SUPPORTED SEMINARS
IMR Fiscal Year 2011 -2012 Annual Report
Page 82
Correlated Electrons at the Interfaces of Complex Oxides, Jak Chakhalian, Professor of Physics,
University of Arkansas, Wednesday, October 5, 2011
Abstract: Complex oxides are a class of materials characterized by a variety of competing interactions
that create a subtle balance to define the lowest energy state and lead to a wide diversity of interesting
properties (e.g. high Tc superconductivity, exotic magnetism,…). By utilizing the bulk properties of these
materials as a starting point, interfaces between different classes of correlated oxides offer a unique
opportunity to break the symmetries present in the bulk and alter the local environment. Using our
ability to growth multilayered structures with unit cell precision, we can now combine materials with
distinctly different and even competing orders to create new materials and quantum states. The broken
symmetry, strain, and altered chemical environments at the interfaces then provide a unique laboratory
to manipulate this subtle balance to create novel states and structures not attainable in bulk.
Understanding of these electronic phases however requires detailed microscopic studies of the
heterostructure properties. Here I will touch on several recent examples of interfaces of correlated
cuprates, manganites and nickelates to illustrate how the application of synchrotron radiation offers the
ability to probe bulk vs. interface properties to gain exclusive insight into the exciting underlying physics.
Listening to the spin noise of electrons and holes in semiconductor quantum structures, Scott Crooker,
Technical Staff Member National High Magnetic Field Laboratory, Los Alamos National Lab, Thursday,
January 19, 2012
Abstract: This talk describes how we measure electron and hole spin dynamics in semiconductor
quantum structures by passively listening to these small spin noise signals. We employ a spin noise
spectrometer based on a sensitive optical Faraday rotation magnetometer that is coupled to a digitizer
and field‐programmable gate array (FPGA), to measure and average noise spectra from 0‐1 GHz
continuously in real time (no experimental dead time) with picoradian/root‐Hz sensitivity. This
approach, applied originally to paramagnetic atomic vapors, is now being used to measure spin noise
from electron Fermi seas in n‐type GaAs and, more recently, from electron and hole spins that are
localized in self‐assembled InGaAs quantum dot ensembles. Both electron and hole spin fluctuations
generate distinct noise peaks, whose shift and broadening with magnetic field directly reveal their g‐
factors and dephasing rates. These noise signals actually increase as the probed volume shrinks,
suggesting possible routes towards non‐perturbative, sourceless magnetic resonance of few‐spin
systems. Some very recent data addressing the non‐Markovian dynamics of holes coupled to a nuclear
spin bath will also be discussed.
High sensitivity (atto‐Newton) force detection, magnetic resonance, spin fluctuation in mesoscopic
system, low temperature physics, KC Fong, Postdoctoral Scholar, Prof. Keith Schwab’s group, California
Institute of Technology, Thursday, January 26, 2012
Spintronics in Superconducting‐Ferromagnetic Devices, among other topics , Jim Sauls, Professor of
Physics, Northwestern University, Monday, February 13, 2012
IMR Fiscal Year 2011 -2012 Annual Report
Page 83
Nano‐engineered Materials: Opportunities and Challenges, Pulickel M. Ajayan, Benjamin M. and Mary
Greenwood Anderson Professor in Engineering, Rice University, March 8, 2012
Abstract: The talk will focus on opportunities and challenges in the engineering of nano‐materials for
various applications. Carbon nanostructures, including carbon nanotubes and graphene, will be used as
examples to demonstrate the perspective in nanoscale engineering and nanomaterials development.
The last couple of decades have seen advances in nanotechnology with promises in many areas of
science and technology. Several exciting developments in recent years allow us to formulate strategies
to develop the next generation of nanostructured materials in controllable and scalable ways. The talk
will focus on various aspects such as synthesis, assembly, nanoscale junctions and interfaces, 3D
nanostructured materials, 2D atomic layers, nanocomposites etc., and discuss a variety of important
issues in the development of nanomaterials based technologies.
Ohio State’s materials community is deeply engaged with and committed to high impact and innovative
programs of outreach and engagement, reaching K‐12 students, under‐represented groups,
undergraduate researchers, K‐12 teachers, graduate students and postdoctoral researchers. Many of
these programs are required core efforts within various centers (especially NSF centers), and several
programs have been developed and run by clusters of faculty members. This section provides a
collection of these activities by the various IMR‐supported centers along with other activities that fully
encompass the breadth of materials research and education at OSU.
CENTER FOR EMERGENT MATERIALS (CEM) EDUCATION AND
OUTREACH
The Center for Emergent Materials (CEM), an NSF MRSEC program at Ohio State, is having a broad
impact on materials research and researchers at OSU and beyond, and is advancing science with
substantial potential to strengthen the US economy and improve well‐being. In this reporting period the
CEM as a whole was engaged in education, training, and outreach programs that have impacted over
9,000 K‐12 students, 98 K‐12 teachers, over 1,000 undergraduates in classes, 17 undergraduate
researchers, 38 graduate students, and 8 postdoctoral scholars. This section provides short updates on
just a few of the many outreach and engagement activities CEM led during Fiscal Year 2011‐2012. Full
details on all CEM programs can be found in CEM’s annual report.
FACULTY AND STUDENT OUTREACH AND ENGAGEMENT ACTIVITIES
IMR Fiscal Year 2011 -2012 Annual Report
Page 84
CEM SUMMER RESEARCH EXPERIENCE FOR UNDERGRADUATES
PROGRAM
The Research Experience for Undergraduates (REU) program had 8 students (5 female, 1 from
underrepresented minority groups and 1 with a declared disability) during summer 2011. During the
2011‐2012 academic year, 7 students (2 female, and 2 underrepresented minority) completed the
program. Successful recruitment of high‐quality REU students from diverse backgrounds is a key
accomplishment. The program ran June‐August 2011 and was presented in conjunction with the OSU
Summer Undergraduate Research Institute (SURI), which enhances the experience of undergraduate
researchers through a series of enrichment programs, both professional and social. In addition to the
SURI activities, the CEM summer program offered workshops on machine shop skills, GRE preparation,
presenting research with posters, a “What can I do with a Ph.D.?” panel discussion with guest speakers
from industry, academia and government laboratories, and weekly community‐building lunches. The
summer culminated with oral and poster presentations to CEM faculty and students.
Also during Summer 2011, CEM member and Professor of Electrical and Computer Engineering Leonard
Brillson organized a research program for high school students from the Columbus School for Girls
(CSG). Five students worked in OSU research labs and one student was supported by CEM. Throughout
the summer, all 5 girls, as well as their graduate student mentors, participated in the weekly lunches and
professional development opportunities offered by the CEM Summer REU Program. Additionally, two
mentoring lunches were organized for the girls with female faculty members.
CEM ACADEMIC YEAR RESEARCH EXPERIENCE FOR
UNDERGRADUATES PROGRAM
To encourage OSU undergraduate students to become involved in research, the Center for Emergent
Materials offers an Academic Year REU Program. The Academic Year REU Program students participated
in workshops on presenting research with scientific posters, to help them prepare to present their
research at the 2012 Denman Undergraduate Research Forum, a university‐wide poster competition
showcasing outstanding student research, held on May 9, 2012. The students will submit research
papers at the end of the academic year and give an oral presentation to CEM faculty and students.
CEM‐ASM MATERIALS CAMPS FOR TEACHERS
During summer 2011, the OSU Department of Materials Science and Engineering and ASM Education
Foundation offered two ASM Materials Camps for High School Teachers, which provide the opportunity
for teachers to work hands‐on with metals, ceramics, polymers and composites and learn how to
incorporate these activities and demos into their science classes. Led each summer by Professor and
IMR member Glenn Daehn, Materials Science and Engineering, the ASM Materials Camp teaches
IMR Fiscal Year 2011 -2012 Annual Report
Page 85
educators about the real‐world application of materials science and how to share its relevance to their
students’ lives. The Advanced Camp was offered a fourth time and was attended by 26 teachers. The
basic form of the camp, offered by the Education Foundation at over 20 sites around the country,
provides teachers with an introductory exposure to materials‐related topics, including hands‐on
exercises and other curricular materials. In 2008, OSU initiated an advanced camp for alumni of
introductory camps to provide deeper exposure and more curriculum development support to teachers
interested in offering Materials Science electives at their schools. In Summer 2011, 17 teachers
primarily from central Ohio attended the introductory camp at OSU, and 27 teachers from 10 states and
Canada attended the advanced camp. Four of the advanced camp attendees had already offered a
materials course at their schools; 4 more were scheduled to do so in the 2011‐2012 school year. The
Center for Emergent Materials MRSEC program at Ohio State is also participating in the CORE – MRSEC
Evaluation Partnership and the Advanced Camp has been the area of focus for the partnership.
Specifically, CEM has evaluated the camp activities that the teachers use in their classrooms and begun
investigating the misconceptions that high school students and teachers have regarding materials
science. Four of the advanced camp attendees had already offered a materials course at their schools; 4
more were scheduled to do so in the 2011‐2012 school year.
CEM INTERACTIONS WITH THE CENTER OF SCIENCE AND
INDUSTRY (COSI)
IMR member Professor Nandini Trivedi, Physics, led an effort to collaborate with COSI (Center of Science
and Industry), a world‐renowned science museum, to produce innovative informal education outreach
programs that showcase materials science. Last fall, Prof. Trivedi and OSU students presented physics‐
related demonstrations to over 3,500 visitors during the Festival of Physics celebration held on October
15 and 16, 2011. On May 5, 2012, Center for Emergent Materials’ fellows participated by presenting
materials‐related demonstrations to over 1,000 visitors during the Nanomaterials Day at COSI.
CEM LABVIEW SHORT COURSE
The Center for Emergent Materials sponsored a 3‐week LabVIEW Short Course in February 2012. The
Short Course was taught by Dr. Jan Jacob of University of Hamburg, who has developed many LabVIEW‐
based measurement and control applications for transport measurements in low‐temperature and high‐
magnetic‐field environments. He has also created control software applications for sample processing
plants; automated image processing and pattern detection for tracking of magnetic singularities in X‐Ray
microscopy experiments; and numerical simulations for spin and charge transport in semiconductor
nanostructures. Dr. Jacob has collaborated with CEM Fellow Andrew Berger (Hammel’s lab) to develop
LabVIEW control software for an FPGA‐based scanned‐probe microscope controller.
The LabVIEW Short Course met 9 times over 3 weeks for 2 hours each session. The topics covered were
extensive and ranged from general approaches to software development, debugging and error handling,
IMR Fiscal Year 2011 -2012 Annual Report
Page 86
data storage, data acquisition, common program architectures, event driven programming, user
interface control, and executables/ installers. Participants were required to bring a laptop with LabVIEW
installed (a 30‐day demo version was available for free) to each session so they could participate in
programming exercises and gain first‐hand experience with LabVIEW.
CEM WORKSHOP ON MAGNETIC DOMAINS
A Workshop on Magnetic Domains, co‐sponsored by the Center for Emergent Materials and the
Nanosystems Laboratory, was held in September 2011. Dr. Rudolf Schäfer, of IFW Dresden, came to OSU
to speak at the three‐day workshop. Dr. Schäfer is a world‐renowned expert on magnetism and
magnetic domains. He co‐authored a monograph, “Magnetic Domains. The Analysis of Magnetic
Microstructures,” a fundamental book on magnetic domain theory, observation techniques and
interpretation. The workshop covered such topics as the history of magnetic domain research, domain
formation by energy minimization, magnetization processes, domains in iron(‐like) materials, domains in
amorphous and nanocrystalline materials, domains in soft magnetic films, and domain imaging. The
average attendance over the three‐day workshop was 25 attendees, and was comprised of
undergraduate and graduate students, post doctoral researchers, faculty and research staff.
This Fiscal Year, the Center for Emergent Materials hosted a LabVIEW software course (left) and a Workshop on Magnetic Domains (right) for OSU faculty, research staff, and students.
IMR Fiscal Year 2011 -2012 Annual Report
Page 87
CENTER FOR AFFORDABLE NANOENGINEERING OF POLYMER
BIOMEDICAL DEVICES (CANPBD) EDUCATION AND OUTREACH
The Center for Affordable Nanoengineering of Polymer Biomedical Devices (CANPBD), an NSF NSEC
program at Ohio State, has also made good progress in teaching, training, outreach and diversity in the
past year. In addition to the ongoing three graduate core courses, CANPBD has continued to offer and
update 20 courses and on‐line modules. Through these course developments, the core of an
undergraduate minor and graduate certificate in nanobiotechnology continues to be developed as a
new option when OSU converts to semester in 2012. The graduate fellows of CANPBD continue to
participate through a student organization (CONGS) to better integrate the student researchers, take
an active role in major center activities, and provide a social fabric for the center. In a newly developed
program, we have paired many CONGS members with industrial mentors from Battelle to enhance
their understanding of technology transfer and commercialization. Outreach to K‐12 reached 522
students and 42 teachers in the past year through visits to center laboratories and the teacher
workshop, and many thousands of students through on‐line activities through the Edheads on‐line
resource (www.edheads.org). In diversity, the CEM continued growth in the number of
underrepresented faculty and student participants in the past year, such that the Center now
compares favorably with national averages in nearly every measure. We continue to work to establish
a culture that values and promotes diversity, recruit and retain members of underrepresented groups
among undergraduates, graduate students, and faculty. We have moved aggressively to recruit new
graduate students from underrepresented groups to academic departments that participate in NSEC,
offer them fellowship support, and mentor them to insure their progress to the PhD. We are currently
offering summer support to three prospective graduate students from underrepresented groups to
enable them to work with CANPBD faculty prior to starting their graduate studies. Our goal is to
encourage them to choose OSU for graduate study, and to provide a bridge experience to enhance
their chance for success in graduate school. We are also actively seeking external advisors for our
students through outreach and collaboration activities taking place with industry and medical doctors.
One effort that has achieved noticeable results has been the pursuit of a close relationship with
Battelle Memorial Institute, a world‐renown, non‐profit research and development organization in
close proximity to OSU. Twelve scientists from Battelle were recruited to individually mentor CANPBD
graduate students. Finally, our international collaboration activities remained strong this past year in
Asia, Europe, the Middle East and South America.
IMR Fiscal Year 2011 -2012 Annual Report
Page 88
Since Spring 2009, the Institute for Materials Research has published IMR Quarterly, a quarterly
newsletter with technical articles highlighting materials‐allied research, and newsworthy information
relevant to materials at The Ohio State University. IMR administrative staff members collect
information from various subject matter experts throughout campus for each newsletter, including
activities within the many federal, state and industry supported materials research and innovation
centers, updates on research funded by IMR grants, facility updates, recently awarded grants, and
other materials research news. The publication highlights one or two IMR members per issue as well,
with technical overviews of their research and recent discoveries. This fiscal year, IMR again published
three quarterly newsletters, all available online at IMR’s website and distributed by mail to
approximately 1,500 readers on campus and to 600 individuals from national labs, other universities,
and industry partners.
During Fiscal Year 2012, the IMR Quarterly newsletter featured stories on several materials‐allied
research projects conducted by IMR members, including the following articles:
“MOCVD Synthesis of Semiconductor Nanowire Heterostructures for Investigations of 1D
Spin Physics,” featuring Fengyuan Yang, Physics; Ezekiel Johnston‐Halperin, Physics; John
Carlin, Institute for Materials Research
“Probing High Frequency Acoustics with Light,” featuring R. Sooryakumar, Physics
IMR QUARTERLY NEWSLETTER
Three issues of the IMR Quarterly newsletter were published during FY 2012, featuring articles on OSU researchers working in a wide range of materials‐allied reacrch including biomaterials, semiconductors, lightweight vehicles, and
acoustics.
IMR Fiscal Year 2011 -2012 Annual Report
Page 89
“Exploring Thermal Spintronics: OSU Interdisciplinary Research Team Contributing to the
Spin‐Seebeck Effect Knowledge Base,” featuring Roberto Myers, Materials Science and
Engineering; Joseph Heremans, Mechanical and Aerospace Engineering; and Ezekiel
Johnston‐Halperin, Physics
“Faculty Spotlight” features on Gunjan Agarwal, Biomedical Engineering; Malcolm Chisholm,
Chemistry; and Michael Paulaitis, Chemical and Biomolecular Engineering
IMR Fiscal Year 2011 -2012 Annual Report
Page 90
The Institute for Materials Research is supported by the OSU Office of Research, the College of
Engineering, and the Division of Natural and Mathematic Sciences of the College of Arts and Sciences,
through a three‐year renewable memorandum of understanding. Each entity provides equal 1/3
shares of the base IMR support, which totaled $908,176 in FY12. As a result of this direct support at
the college and Office of Research level, IMR does not receive cash from the return on indirect
expenses via faculty‐led externally funded research projects, since faculty maintain full appointments
in their home departments, and are not appointed into IMR directly. This is the current policy of the
Office of Research with regards to Institute and Center support.
In the early part of FY11, the formal operation and unit reporting responsibility of the OSU Nanotech
West Laboratory was transferred from the College of Engineering to the IMR. This formal move aligned
the unit authority with the actual technical facility management that is already in place, since the
Nanotech Director already reported to the IMR Director. The Nanotech West operating budget,
including rent of the entire building, all utilities, personnel, etc., is supported by an agreement
between the Office of Research, the College of Engineering and the IMR, with the IMR contribution
being solely derived from user fee income from the facility.
Figure 8. Fiscal Year 2011‐2012 Total IMR Expenses by Major Category.
FINANCIAL REPORT
Administrative Personnel$ 555,272
22%
Technical Personnel$ 823,730
33%
Equipment/Capital Investment $22,090
1%
FY12 Seed Grants$304,859
12% Proposal Development Support$ 9,500
< 1%
Additional Support of Prime Materials Research Centers
$ 97,1524%
IMR Operating Expenses$ 107,729
4%NTW Operating Expenses
$ 601,09824%
IMR Total Expenses for Fiscal Year 2011‐2012(includes Nanotech West)
IMR Fiscal Year 2011 -2012 Annual Report
Page 91
Table 2: IMR total expenses including Nanotech West for fiscal year 2011‐2012.
I n s t i t u t e f o r Ma t e r i a l s R e s e a r c h Total Expenses Fiscal Year 2011‐2012
Administrative Personnel
Includes salary and fringe for IMR and Nanotech West staff (including 1/2 of NTW Director) and student employ‐ees, plus Quarter Off Duty payments for Associate Direc‐
tors
Total Administrative Personnel $555,272
Technical Personnel Includes salary and fringe for 1/2 of NTW Director and
Members of Technical Staff
Total Technical Personnel $823,730
Equipment/Capital Investment
Total Equipment/Capital Investment $22,090
Seed Grants Includes IMR Facility and Industry Challenge Grants; OSU
Materials Research Seed Grant Program
Total Seed Grants $304,859
Proposal Development Support
Total Proposal Development Support $9,500
Additional Support of Prime Materials Research Centers
Total Additional Support of Prime Materials Research Centers $97,152
Core Operating Expenses
IMR Operating Expenses $107,729
NTW Operating Expenses
Administrative Supplies $13,126
Building Expenses $40,242
Lab Supplies and Services $229,585
Lab Equipment and Repair $277,368
Mailing Services/Communications Expenses $12,008
Overhead $28,769
Total NTW Operating Expenses $601,098
Total IMR and NTW Operating Expenses $708,827
Total Expenses $2,521,430
IMR Fiscal Year 2011 -2012 Annual Report
Page 92
Appendices
Appendix A: Members of the Institute for Materials Research (IMR) As of July 2012 Appendix B: Research Outputs from OSU Materials Community Directly Resulting from IMR Resources and Activities for Fiscal Year 2011 – 2012 Peer-Reviewed Publications Professional Presentations External Research Funding
Appendix C: Activities of Members of Technical Staff (MTS) for Fiscal Year 2011 – 2012 Dr. John Carlin, Research Scientist, Nanotech West Laboratory Dr. Evgeny Danilov, Senior Research Associate, Center for Chemical and
Biophysical Dynamics Dr. Robert J. Davis, Director, Nanotech West Laboratory and Associate
Director, Institute for Materials Research Appendix D: 2011 – 2012 IMR Facility Grant Awards
IMR Fiscal Year 2011 -2012 Annual Report
Page 93
Appendix A
Members of the Institute for Materials Research (IMR) As of July 2012
IMR Fiscal Year 2011 -2012 Annual Report
Page 94
Sudha Agarwal, Oral Biology
Gunjan Agarwal, Biomedical Engineering
Kristy Ainslie, Pharmacy
Sheikh Akbar, Materials Science and Engineering
Boian Alexandrov, Materials Science and Engineering
Heather Allen, Chemistry
Betty Lise Anderson, Electrical and Computer Engineering
Peter Anderson, Materials Science and Engineering
Mirela Anghelina, Davis Heart and Lung Institute
Sudarsanam Suresh Babu, Materials Science and Engineering
Jovica Badjic, Chemistry
Yakup Bayram, Electroscience Laboratory
Thomas Bean, Food, Agricultural and Biological Engineering
Jim Beatty, Physics
Stephen Bechtel, Mechanical and Aerospace Engineering
Avraham Benatar, Materials Science and Engineering
Paul Berger, Electrical and Computer Engineering
Bharat Bhushan, Mechanical and Aerospace Engineering
Thomas Blue, Mechanical and Aerospace Engineering
Dennis Bong, Chemistry
Leonard Brillson, Electrical and Computer Engineering
Rudy Buchheit, Materials Science and Engineering
Ralf Bundschuh, Physics
Lei (Raymond) Cao, Mechanical and Aerospace Engineering
John Carlin, Institute for Materials Research
Carlos Castro, Mechanical and Aerospace Engineering
Jose Castro, Integrated Systems Engineering
Jeffrey Chalmers, Chemical and Biomolecular Engineering
Malcolm Chisholm, Chemistry
William Clark, Materials Science and Engineering
James Coe, Chemistry
Edward Collings, Materials Science and Engineering
Terry Conlisk, Mechanical and Aerospace Engineering
Stuart Cooper, Chemical and Biomolecular Engineering
Katrina Cornish, Horticulture and Crop Science
Glenn Daehn, Materials Science and Engineering
IMR Fiscal Year 2011 -2012 Annual Report
Page 95
Evgeny Danilov, Institute for Materials Research
Marcelo Dapino, Mechanical and Aerospace Engineering
Robert Davis, Institute for Materials Research
Frank De Lucia, Physics
Suliman Dregia, Materials Science and Engineering
Charles Drummond, Materials Science and Engineering
Prabir Dutta, Chemistry
Arthur Epstein, Physics
Edward Eteshola, Biomedical Engineering
Liang-Shih Fan, Chemical and Biomolecular Engineering
Dave Farson, Materials Science and Engineering
Gerald Frankel, Materials Science and Engineering
Hamish Fraser, Materials Science and Engineering
Richard Freeman, Physics
Josh Goldberger, Chemistry
Keith Gooch, Biomedical Engineering
Jianjun Guan, Materials Science and Engineering
Yann Guezennec, Mechanical and Aerospace Engineering
Prabhat Gupta, Materials Science and Engineering
Jay Gupta, Physics
Terry Gustafson, Chemistry
Nathan Hall, Radiology
P. Chris Hammel, Physics
Derek Hansford, Biomedical Engineering
Richard Hart, Biomedical Engineering
Joseph Heremans, Mechanical and Aerospace Engineering
Anton Heyns, Chemistry
Julia Higle, Integrated Systems Engineering
George Hinkle, Pharmacy
W.S. Winston Ho, Chemical and Biomolecular Engineering
Ezekiel Johnston-Halperin, Physics
Waleed Khalil, Electrical and Computer Engineering
Matt Kleinhenz, Horticulture and Crop Science
Kurt Koelling, Chemical and Biomolecular Engineering
Ashok Krishnamurthy, Electrical and Computer Engineering
Gregory Lafyatis, Physics
John Lannutti, Materials Science and Engineering
L. James Lee, Chemical and Biomolecular Engineering
Robert Lee, Electrical and Computer Engineering
Robert J. Lee, Pharmacy
IMR Fiscal Year 2011 -2012 Annual Report
Page 96
Stephen Lee, Biomedical Engineering
Thomas Lemberger, Physics
Yebo Li, Food, Agricultural and Biological Engineering
John Lippold, Materials Science and Engineering
Wu Lu, Electrical and Computer Engineering
Anthony Luscher, Mechanical and Aerospace Engineering
Peter March, Natural and Mathematical Sciences
Edward Martin Jr., Surgery Oncology
Chia-Hsiang Menq, Mechanical and Aerospace Engineering
Carolyn Merry, Civil, Environmental Engineering and Geodetic Sciences
Fred Michel Jr., Food, Agricultural and Biological Engineering
Sharell Mikesell, Nanoscale Science and Engineering Center and Industry Liason Office
Terry Miller, Chemistry
Michael Mills, Materials Science and Engineering
Nicanor Moldovan, Davis Heart and Lung Institute
John Morral, Materials Science and Engineering
Patricia Morris, Materials Science and Engineering
Randy Moses, Electrical and Computer Engineering
Roberto Myers, Materials Science and Engineering
Stephen Myers, Ohio Bioproducts Innovation Center
Susan Olesik, Chemistry
Michael Ostrowski, Molecular and Cellular Biochemistry
Umit Ozkan, Chemical and Biomolecular Engineering
Andre Palmer, Chemical and Biomolecular Engineering
Wendy Panero, School of Earth Sciences
Jon Parquette, Chemistry
Srinivasan Parthasarathy, Computer Science and Engineering
Michael Paulaitis, Chemical and Biomolecular Engineering
Denis Pelekhov, Institute for Materials Research
Jonathan Pelz, Physics
Matthew Platz, Chemistry
Michael Poirier, Physics
Stephen Povoski, Surgery Oncology
Heather Powell, Materials Science and Engineering
Shaurya Prakash, Mechanical and Aerospace Engineering
Aimee Price, Institute for Materials Research
Siddarth Rajan, Electrical and Computer Engineering
IMR Fiscal Year 2011 -2012 Annual Report
Page 97
Mohit Randeria, Physics
Bill Ravlin, Ohio Agricultural Research and Development Center
Ronald Reano, Electrical and Computer Engineering
David Rigney, Materials Science and Engineering
Matthew Ringel, Molecular Virology, Immunology and Medical Genetics
Steven Ringel, Electrical and Computer Engineering
Giorgio Rizzoni, Mechanical and Aerospace Engineering
Patrick Roblin, Electrical and Computer Engineering
Thomas Rosol, Surgery Oncology
Gang Ruan, Chemical and Biomolecular Engineering
Mark Rudner, Physics
Yogeshwar Sahai, Materials Science and Engineering
Scott Schricker, Dentistry
Kubilay Sertel, Electroscience Laboratory
Sadhana Sharma, Pharmacy
Scott Shearer, Food, Agricultural and Biological Engineering
Sherwin Singer, Chemistry
Ratnasingham Sooryakumar, Physics
Krishnaswamy Srinivasan, Mechanical and Aerospace Engineering
Doru Stefanescu, Materials Science and Engineering
David Stroud, Physics
Vishwanath Subramaniam, Mechanical and Aerospace Engineering
Michael Sumption, Materials Science and Engineering
David Tomasko, Chemical and Biomolecular Engineering
Nandini Trivedi, Physics
Claudia Turro, Chemistry
George Valco, Electrical and Computer Engineering
Murugesan Velayutham, Davis Heart and Lung Institute
Hendrik Verweij, Materials Science and Engineering
Yael Vodovotz, Food Science Technology
John Volakis, Electrical and Computer Engineering
Robert Wagoner, Materials Science and Engineering
Eric Walton, Electroscience Laboratory
Yunzhi Wang, Materials Science and Engineering
Marvin White, Electrical and Computer Engineering
John Wilkins, Physics
IMR Fiscal Year 2011 -2012 Annual Report
Page 98
James Williams, Materials Science and Engineering
Wolfgang Windl, Materials Science and Engineering
Jessica Winter, Chemical and Biomolecular Engineering
David Wood, Chemical and Biomolecular Engineering
Patrick Woodward, Chemistry
Yiying Wu, Chemistry
Ronald Xu, Biomedical Engineering
Fengyuan Yang, Physics
Allen Yi, Integrated Systems Engineering
Sheng-Tao John Yu, Mechanical and Aerospace Engineering
Yi Zhao, Biomedical Engineering
Ji-Cheng Zhao, Materials Science and Engineering
Yuan Zheng, Electrical and Computer Engineering
IMR Fiscal Year 2011 -2012 Annual Report
Page 99
Appendix B
Research Outputs from OSU Materials Community Directly Resulting from IMR Resources and Activities
for Fiscal Year 2011 – 2012
Peer-Reviewed Publications Professional Presentations External Research Funding
IMR Fiscal Year 2011 -2012 Annual Report
Page 100
Note: This list relies on self reporting and is likely to be underestimated; an asterisk (*) indicates those items obtained through leveraging more than one IMR-supported activity; this list does not include the many publications in preparation or pending publication
Suresh Babu
S. S. Babu, “In pursuit of optimum welding system design for steels,” Science and Technology of Welding and Joining, 2011, Vol. 16, pp. 306 - 312
D. Schick, S. S. Babu, D. Foster, M. Short, M. Dapino, and J. C. Lippold, “Transient Thermal Response in Ultrasonic Additive Manufacturing of Aluminum 3003,” Rapid Prototyping Journal, Vol. 17 Iss: 5, pp.369 – 379, 2011
S. C. Nagpure, R. G. Downing, B. Bhushan, S. S. Babu, L. Cao, “Neutron depth profiling technique for studying aging in Li-ion batteries,” Electrochimica Acta, 2011, Vol 56, No. 13, pp. 4735-4743
S. S. Babu, “Ex-situ and In-situ Techniques for Visualization of Weld Microstructure,” (Translated to Japanese by organizers of the symposium on The Frontline of the Welding Science and Technology in the World), Journal of the Japan Welding Society, 2011, Vol. 80, No. 1, pp. 64 – 69
S. S. Babu and S. A. David “Advanced characterization techniques to understand welded structures,” Editorial for Special Issue on Science and Technology of Welding and Joining, 2011, Vol. 16, pp. 1-2
J. M. Vitek and S. S. Babu, “Multiscale characterization of weldments,” Science and Technology of Welding and Joining, 2011, Vol. 16, pp. 3-11
X. Yu, J. Caron, S. S. Babu, J. C. Lippold, D. Isheim, D. Seidman, “Characterization of microstructural strengthening of the heat-affected-zone of a blast resistant Naval steel,” Acta Materialia, 2010, Vol. 58, pp. 5596 – 5609 & Corrigendum to the paper was published in Acta Materialia, 2011, Vol. 59, pp. 5596-5609
Stephen Bechtel
S. Chakrabarti and M.J. Dapino, “Coupled axisymmetric finite element model of a hydraulically-amplified
magnetostrictive actuator for active powertrain mounts,” Finite Elements in Analysis and Design, Vol. 60, pp. 25-34, November 2012.
S. Chakrabarti and M.J. Dapino, “Nonlinear finite element model for 3D Galfenol systems,” Smart
Materials and Structures, Vol. 20, No. 10, 105034, October 2011
P.J. Wolcott, C.D. Hopkins, L. Zhang, and M.J. Dapino, “Smart switch metamaterials for multiband radio frequency antennas,” Journal of Intelligent Material Systems and Structures, Vol. 22, Issue 13, 1469 - 1478, September 2011
IMR Fiscal Year 2011 -2012 Annual Report
Page 101
S. Santapuri, S.E. Bechtel, “A Two-Dimensional Theory of Coupled Electro-Magneto-Mechanical Plates as an Application to Load-Bearing Antenna Structures,” Proceedings of the 18th SPIE International Symposium, Vol. 7978, 79781J, 6-10 March 2011, San Diego, CA
Bharat Bhushan
Palacio, M. L. B., Schricker, S. R. and Bhushan, B. (2011), “Bioadhesion of various proteins on random, diblock and triblock copolymer surfaces and the effect of pH conditions,” J. R. Soc. Interface 8, 630-640.
Schricker, S. R., Palacio, M. L. B. and Bhushan, B. (2011), “Protein adhesion of block copolymer surfaces,” Colloid Polym. Sci. 289, 219-225.
Dennis Bong
Y. Zeng, Y. Pratumyot, X. Piao and D, Bong. "Discrete assembly of synthetic peptide-DNA triplex structures from polyvalent melamine-thymine bifacial recognition." J. Am. Chem. Soc, 2012, 134(2), pp 832-835.
M. Ma and D. Bong. "Protein assembly directed by synthetic molecular recognition motifs." Org. Biomol. Chem., 2011 DOI: 10.1039/C1OB05998J
M. Ma and D. Bong. "Determinants of cyanuric acid and melamine assembly in water" Langmuir, 2011, 27, 8841-8853
O. Torres and D. Bong. "Determinants of membrane activity from mutational analysis of the HIV fusion peptide" Biochemistry, 2011, dx.doi.org/10.1021/bi200696s
M. Ma and D. Bong. "Stabilization of vesicular and supported membranes by glycolipid oxime polymers" Chem. Commun., 2011, 47, 2853-2855
M. Ma and D. Bong."Directed peptide assembly at the lipid-water interface cooperatively enhances membrane binding and activity." Langmuir, 2011, 27, 1480-1486
S. Bandyopadhyay and D. Bong. "Synthesis of trifunctional phosphatidylserine probes for identification of lipid-binding proteins." Eur. J. Org. Chem, 2011, 2011, 751-758
S. Bhattacharjee and D. Bong. "Protein-polymer grafts via a soy protein derived macro-RAFT chain transfer agent" J. Polym. Environ., 2011, 19, 203-208
M. Ma, S. Chatterjee, M. Zhang, D. Bong, "Stabilization of vesicular and supported membranes by oxime linked trehalose lipids," Chemical Communications, 2011, 47, 2853-2855
Malcolm Chisholm
Brown-Xu, Samantha E.; Chisholm, Malcolm H.; Gallucci, Judith C.; Ghosh, Yagnaseni; Gustafson, Terry L.; Reed, Carly R., "Furan- and selenophene-2-carboxylato derivatives of dimolybdenum and ditungsten
IMR Fiscal Year 2011 -2012 Annual Report
Page 102
(M quadrolpe bond M): a comparison of their chemical and photophysical properties," Dalton Transactions (2012), 41(8), 2257-2263*
Alberding, Brian G.; Chisholm, Malcolm H.; Gustafson, Terry L., "Detection of the Singlet and Triplet MM δδ* States in Quadruply Bonded Dimetal Tetracarboxylates (M = Mo, W) by Time-Resolved Infrared Spectroscopy," Inorganic Chemistry (Washington, DC, United States) (2012), 51(1), 491-498*
Chisholm, Malcolm H.; Lear, Benjamin J., "M2δ to ligand π-conjugation: test-beds for current theories of mixed valence in ground and photoexcited states of molecular systems," Chemical Society Reviews (2011), 40(11), 5254-5265
Alberding, Brian G.; Chisholm, Malcolm H.; Lear, Benjamin J.; Naseri, Vesal; Reed, Carly R., "Synthesis and characterization of trans-M2(TiPB)2(O2C-CH:CH-2-C4H3S)2 (M = Mo or W) and comments on the metal-to-ligand charge transfer bands in MM quadruply bonded complexes of the type trans-M2(TiPB)2L2, where TiPB = 2,4,6-triisopropylbenzoate and L = π-accepting carboxylate ligand," Dalton Transactions (2011), 40(40), 10658-10663*
Chisholm, Malcolm H., "Oligothiophenes incorporating MM quadruple bonds: syntheses and optoelectronic properties," Polymer Preprints (American Chemical Society, Division of Polymer Chemistry) (2011), 52(2), 838-839
Alberding, Brian G.; Chisholm, Malcolm H.; Gallucci, Judith C.; Ghosh, Yagnaseni; Gustafson, Terry L., "Electron delocalization in the S1 and T1 metal-to-ligand charge transfer states of trans-substituted metal quadruply bonded complexes," Proceedings of the National Academy of Sciences of the United States of America (2011), 108(20), 8152-8156, S8152/1-S8152/6*
Bunting, Philip; Chisholm, Malcolm H.; Gallucci, Judith C.; Lear, Benjamin J., "Extent of M2δ to Ligand π-Conjugation in Neutral and Mixed Valence States of Bis(4-isonicotinate)-bis(2,4,6-triisopropylbenzoate) Dimetal Complexes (MM), Where M = Mo or W, and Their Adducts with Tris(pentafluorophenyl)boron,"
Journal of the American Chemical Society (2011), 133(15), 5873-5881
Alberding, Brian G.; Chisholm, Malcolm H.; Ghosh, Yagnaseni; Gustafson, Terry L., "Excited state mixed valency in the MLCT states of paddlewheel compounds involving quadruple bonds between molybdenum and tungstenm," Abstracts of Papers, 241st ACS National Meeting & Exposition, Anaheim, CA, United States, March 27-31, 2011 (2011), INOR-1045*
Reed, Carly R.; Alberding, Brian G.; Chisholm, Malcolm H.; Turro, Claudia, "Electronic communication and photophysical properties of the ground and excited states in quadruply bonded dimetal complexes," Abstracts of Papers, 241st ACS National Meeting & Exposition, Anaheim, CA, United States, March 27-31, 2011 (2011), INOR-1044*
IMR Fiscal Year 2011 -2012 Annual Report
Page 103
Katrina Cornish
Linton, D., Chavan, V., Xie, W., McMahan, C.M, Cornish, K., Quirk, R., Puskas, J.E., A study on synthetic
isoprene incorporation into natural rubber. Proceedings International Symposium on Ionic Polymerizations Conference, Akron, Ohio, 2011.
Cornish, K., Performance related biochemical regulation of rubber production in Hevea brasiliensis and/or alternative rubber plants. In:Rubber Latex Technology, Volume 1, 87-98, publ. Rubber Industry Academy. 2011.
Cornish, K., Alternative Natural Rubber Latices: Safety and Performance, In: Rubber Latex Technology, Volume 1, 78-86, publ. Rubber Industry Academy. 2011.
Marcelo Dapino
C.D. Hopkins, P.J. Wolcott, M.J. Dapino, A.G. Truog, S.S. Babu, and S.A. Fernandez, "Optimizing ultrasonic additive manufactured Al 3003 properties with statistical modeling," ASME Journal of Engineering Materials and Technology, Volume 134, Issue 1, 011004, January 2012
D. Schick, S. S. Babu, D. Foster, M. Short, M. Dapino, and J. C. Lippold, “Transient Thermal Response in Ultrasonic Additive Manufacturing of Aluminum 3003,” Rapid Prototyping Journal, Vol. 17 Iss: 5, pp.369 – 379, 2011
C.D. Hopkins, M.J. Dapino, S.A. Fernandez, “Statistical characterization of Ti/Al composites made by Ultrasonic Additive Manufacturing,'' ASME Journal of Engineering Materials and Technology, Volume 132, Issue 4, 041006, 2010
P.J. Wolcott, Z. Wang, M.J. Dapino, and L. Zhang, "Planar RF antenna reconfiguration with Ni-Ti shape memory alloys," Proceedings of ASME 2011 Conference on Smart Materials, Adaptive Structures and Intelligent Systems, September 18-21, 2011, Phoenix, Arizona.
P.J. Wolcott, C.D. Hopkins, L. Zhang, M.J. Dapino, "Smart switch metamaterials for multiband radio frequency antennas," Journal of Intelligent Material Systems and Structures, Vol. 22, issue 13, 1469-1470, September 25, 2011 1045389X11414085
Arthur Epstein
L. Fang, K.D. Bozdag, C.-Y. Chen, P.A. Truitt, A.J. Epstein, and E. Johnston-Halperin, "Electrical Spin Injection from an Organic-based Magnet in a Hybrid Organic/inorganic Heterostructure," Physical Review Letters 106, 156602-1/4 (2011)
C.-Y. Kao, J.-W. Yoo, and A. J. Epstein, "Molecular Layer Deposition of an Organic-based Magnetic Laminate," ACS Applied Materials & Interfaces 4, 137-141(2012).
IMR Fiscal Year 2011 -2012 Annual Report
Page 104
B. Li, C.-Y. Kao, J.-W. Yoo, V. N. Prigodin, and A. J. Epstein, "Magnetoresistance in an All-Organic-Based Spin Valve," Advanced Materials 23, 3382-3386 (2011).
J.H. Park, A.R. Carter, L.M. Mier, C.-Y. Kao, S.A.M. Lewis, R.P. Nandyala, Y. Min, and A.J. Epstein, "Organic Photovoltaic Cells with Nano-Fabric Heterojunction Structure," Applied Physics Letters 100, 073301/1-4 (2012).
B. Li, M. Zhou, Y. Lub, C.-Y. Kao, J.-W. Yoo, V.N. Prigodin, and A.J. Epstein, "Effect of Organic Spacer in an Organic Spin Valve using Organic Magnetic Semiconductor V[TCNE]x," Organic Electronics 13, 1261–1265 (2012)
Joshua Goldberger
Y.H. Liu, S. H. Porter, J. Goldberger, “Dimensional Reduction of a Layered Metal Chalcogenide into a 1D Near-IR Direct Band Gap Semiconductor” J. Am. Chem. Soc., 134, 5044-7 (2012)
JianJun Guan
Z. Li, X. Guo, S.Matsushita, J. Guan, “differntiation of cardiosphere-derived cells into a mature cardiac lineage using biodegradable poly(N-isopropylacrylamide) hydrogels”, Biomaterials, 10.1016/j.biomaterials, 2011.
P. Chris Hammel
Wolny, Y. Obukhov, T. Muhl, U. Weissker, S. Philippi, A. Leonhardt, P.Banerjee, A. Reed, G. Xiang, R. Adur, I. Lee, A.J. Hauser, F.Y. Yang, D.V. Pelekhov, B. Buchner and P.C. Hammel, Quantitative magnetic
force microscopy on permalloy dots using an iron filled carbon nanotubeprobe, F Ultramicroscopy 111 1360–1365 (2011) http://dx.doi.org/10.1016/j.ultramic.2011.05.002
Ezekiel Johnston-Halperin
Xuejin Wen, Samit Gupta, Theodore R. Nicholson III, Leonard Brillson, Stephen C. Lee, and Wu Lu. 2011. AlGaN/GaN HFET biosensors working at sub-threshold regime for sensitivity enhancement. Physica Status Solidi C 8: 2489-2491. DOI: 10.1002pssc.201001174
Xuejin Wen, Michael L. Schuette, Samit Gupta, Theodore R. Nicholson III, Stephen C. Lee, and Wu Lu. 2011. Improved sensitivity of AlGaN/GaN field effect transistor biosensors by optimized surface functionalization. IEEE Sensor Journal 11: 1726-1735
Patricia Casal, Xuejin Wen, Samit Gupta, Theodore Nicholson, Andrew Theiss, Yuji Wang, Leonard Brillson, Wu Lu, and Stephen C. Lee. 2012. ImmunoHFET feasibility in physiological salt environments. Journal of the Royal Society A 370: 2474-2488. doi:10.1098/rsta2011.0503.
Xuejin Wen, Samit Gupta, Yuji Wang, Theodore R. Nicholson III, Stephen C. Lee, and Wu Lu. 2011. High sensitivity AlGaN/GaN field effect transistor protein sensors operated in the sub-threshold regime by a
IMR Fiscal Year 2011 -2012 Annual Report
Page 105
control gate electrode. Applied Physics Letters 99: 043701-043704 NOTE: This article was selected for republication:-The August 1, 2011 issue of Virtual Journal of Biological Physics Research Volume 22, issue (3) (http://www.vjbio.org.), Instrumentation Development Section
D.R. Hoy, Y. Pu, S.D. Carnevale, E. Johnston-Halperin, R.C. Myers, “All-electrical spin injection and detection in an AlGaN/GaN two-dimensional electron gas,” Bulleting of the American Physical Society, vol. 56, Issue 1, (2011).
L. Fang, K.D. Bozdag, C.Y. Chen, P.A. Truitt, A.J. Epstein, E. Johnston-Halperin, “Electrical Spin Injection from an Organic-Based Ferrimagnet in a Hybrid Organic-Inorganic Heterostructure,” Physical Review Letters, vol. 106, Issue 15, (2011),
Y. Pu, A. Swartz, J. Beardsley, V. Bhallamudi, C. Hammel, R. Kawakami, E. Johnston-Halperin, J. Pelz, “Electrical spin injection and detection in Si,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
D. Ko, X. W. Zhao, K.M. Reddy, O. D. Restrepo, R. Mishra, I. S. Beloborodov, N. Trivedi, N.P. Padture, W. Windl, F. Y. Yang, E. Johnston-Halperin, “Defect states and disorder in charge transport in semiconductor nanowires,” Cornell University Library, (2011).
J. Beardsley, Y. Pu, A. Swartz, V. Bhallamudi, R. Kawakami, E. Johnston-Halperin, C. Hammel, J. Pelz, “Spin injection studies on thin Fe/MgO/Si tunneling devices,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
T.F. Kent, J. Yang, L. Yang, S.D. Carnevale, B. Niles, D.R. Hoy, Y.-H. Chiu, E. Johnston-Halperin, M.J. Mills, R.C. Myers, “Room Temperature Ferromagnetism in GaN-AlN Quantum Confined Heterostructures,”
Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
D. Ko, X. Zhao, K. Reddy, W. Windl, N. Padture, N. Trivedi, F. Yang, E. Johnston-Halperin, “Role of defect states in charge transport in semiconductor nanowires,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
R.M. Teeling, Y.W. Jung, I. Lee, J. North, R. Nakkula, R. Adur, E. Johnston-Halperin, M.G. Poirier, P.C. Hammel, “Imaging the Vector Magnetic Field of Magnetospirillum Gryphiswaldense by Optically Detected Magnetic Resonance using Nitrogen-Vacancy Centers in Diamond,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
L. Fang, X. Zhao, Y.-H. Chiu, D. Ko, K.M. Reddy, N.P. Padture, F. Yang, E. Johnston-Halperin, “Comprehensive Control of Optical Polarization Anisotropy in Semiconducting Nanowires,” Cornell University Library, (2011).
Stephen Lee
IMR Fiscal Year 2011 -2012 Annual Report
Page 106
S. Gupta, H. Wu, K. Kwak, P. Casal, T. Nicholson III, X. Wen, R. Anisha, B. Bhushan, P. Berger, W. Lu, L. Brillson, S. C. Lee, “Interfacial design and structure of protein/polymer films on oxidized AlGaN surfaces,” Journal of Physics D: Applied Physics, vol. 44, no. 3 (2011)
Patricia Morris
Andio, M.A., Beach, E.R., Morris, P.A., Akbar, S.A., "Synthesis and Nano-Structured Metal-Oxides and Deposition via Ink-Jet Printing on Microhotplate Substrates" Science of Advanced Materials Vol. 3, 845-852 (2011)
Andio, M.A., Browning, P.N., Morris, P.A., Akbar, S.A., "Comparison of gas sensor performance of SnO2 nano-structures on microhotplate platforms" Sensors and Actuators B: Chemical Vol. 165, 13-18 (2012)
Roberto Myers
S. D. Carnevale, C. Marginean, P. J. Phillips, T. F. Kent, A. T. M. G. Sarwar, M. J. Mills, and R. C. Myers. Coaxial Nanowire Resonant Tunneling Diodes from non-polar AlN/GaN on Silicon. Appl. Phys. Lett. 100, 142115 (2012).
S. D. Carnevale, T. F. Kent, P. J. Phillips, M. J. Mills, S. Rajan, and R. C. Myers. Polarization-induced pn-diodes in wide band gap nanowires with ultraviolet electroluminescence. Nano Letters 12, 915 (2012).
S. D. Carnevale, J. Yang, P. J. Phillips, M. J. Mills and R. C. Myers. Three-Dimensional GaN/AlN Nanowire Heterostructures by Separating Nucleation and Growth Processes. Nano Letters 11, 866-871 (2011).
T. F. Kent, J. Yang, L. Yang, M. J. Mills, and R. C. Myers. Epitaxial Ferromagnetic Nanoislands of Cubic GdN in Hexagonal GaN. Appl. Phys. lett. 100, 152111 (2012).
D.R. Hoy, Y. Pu, S.D. Carnevale, E. Johnston-Halperin, R.C. Myers, “All-electrical spin injection and detection in an AlGaN/GaN two-dimensional electron gas,” Bulleting of the American Physical Society, vol. 56, Issue 1, (2011).
T.F. Kent, J. Yang, L. Yang, S.D. Carnevale, B. Niles, D.R. Hoy, Y.-H. Chiu, E. Johnston-Halperin, M.J. Mills, R.C. Myers, “Room Temperature Ferromagnetism in GaN-AlN Quantum Confined Heterostructures,”
Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
S.D. Carnevale, J. Yang, P.J. Phillips, M.J. Mills, R.C. Myers, “Controlling Nanostructure Self-assembly for Design of Three-dimensional Semiconductor Heterostructures,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
J. Yang, S.D. Carnevale, T.F. Kent, M.R. Brenner, R.C. Myers, “Effect of growth kinetics on intersubband transitions in GaN/AlN multiple quantum wells,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
IMR Fiscal Year 2011 -2012 Annual Report
Page 107
C. M. Jaworski, J. Yang, S. Mack, D. D. Awschalom, R. C. Myers, and J. P. Heremans, “Spin-Seebeck Effect: A Phonon Driven Spin Distribution,” Physical Review Letters, vol. 106, Issue 18, (2011).
B. Chapler, R.C. Myers, S. Mack, A. Frenzel, B.C. Pursley, K.S. Burch, E.J. Singley, A.M. Dattelbaum, N. Samarth, D.D. Awschalom, D.N. Basov, “On magnetism and the insulator-to-metal transition in $p$-doped GaAs,” Bulletin of the American Physical Society, vol. 56, Issue 1, (2011).
Wendy Panero
Pigott, J. S.*, D. M. Reaman*, W. R. Panero, Microfabrication of controlled-geometry samples for the laser-heated diamond-anvil cell using focused ion beam technology, Rev. Sci. Inst., 82, doi:10.1063/1.3658482 (2011).
Reaman, D. M.*, G. S. Deahn, W. R. Panero, Predictive mechanism for anisotropy development in the Earth’s Inner Core, Earth Planet Sci Lett, 312, 437-442 (2011).
Reaman, D. M.*, H. O. Colijn, F. Yang, W. R. Panero, Interdiffusion of Earth’s Core Materials to 65 Gpa and 2200 K, Earth and Planet Sci Lett, vol. 349-350 (Oct 2012), pp. 8-14.
Jon Parquette
Self-Assembly of a Donor-Acceptor Nanotube. A Strategy to Create Bicontinuous Arrays. Siyu Tu, Se Hye Kim, Jojo Joseph, David A. Modarelli* and Jon R. Parquette*, J. Am. Chem. Soc. 2011, 133, 19125–19130.*
Aqueous Self-Assembly of L-Lysine Based Amphiphiles into 1D N-Type Nanotubes Hui Shao, Min Gao, Se Hye Kim, Christopher P. Jaroniec and Jon R. Parquette* Chem.-Eur. J. 2011, 17, 12882-12885.*
Intramolecular chiral communication in peptide-dendron hybrids Shao, H.; Bewick, N. A.; Parquette, J. R.. Org. Biomol. Chem. 2012, 10, 2377.
J.W. Drexler, H.M. Powell, “Regulation of Electrospun Scaffold Stiffness Via Coaxial Core Diameter”, Acta Biomaterialia, 7:1133–1139 (2011) *
Jonathan Pelz
W. Cai, Y. Che, J. P. Pelz, E. R. Hemesath, and L. J. Lauhon, Direct Measurements of Lateral Variations of Schottky Barrier Height Across “End-On” Metal Contacts to Vertical Si Nanowires by Ballistic Electron Emission Microscopy, Nano Lett. 12, 694 (2012).(2)
Siddarth Rajan
F. Akyol, D. Nath, E. Gur and S. Rajan, “N-Polar III-Nitride green (540 nm) light emitting diode”, accepted for publication Japanese Journal of Applied Physics (2011).
IMR Fiscal Year 2011 -2012 Annual Report
Page 108
M. Esposto, A. Chini, and S. Rajan, “Analytical Model for Power Switching GaN-based HEMTs”, Accepted for publication IEEE Trans. Elec. Dev. (2011)
D. Nath, E. Gur, S. A. Ringel, S. Rajan , “Growth model for plasma-assisted molecular beam epitaxy of N-polar and Ga-polar InxGa1-xN”, accepted for publication, JVST B (2011)
Pil Sung Park and Siddharth Rajan, “Simulation of Short-Channel Effects in N- and Ga-polar AlGaN/GaN HEMTs”, Accepted for publication, IEEE Trans. Elec. Dev., (2011).
R. Sooryakumar
Mechanical properties of porous low-k dielectric nano-films, W. Zhou, S. Bailey, R. Sooryakumar, S. King, G. Xu, E. Mays, C. Ege, J. Bielefeld, Journal of Applied Physics 110, 043520 (2011).
Patterned magnetic traps for magnetophoretic assembly and actuation of micro-rotor pumps, T. Henighan, D. Giglio, A. Chen, G. Vieira and R. Sooryakumar, Applied Physics Letters 98, 103505 (2011).
Yael Vodovotz
Modi, S., Koelling, K. and Vodovotz, Y. (2011), Miscibility of poly(3-hydroxybutyrate-co-3-hydroxyvalerate) with high molecular weight poly(lactic acid) blends determined by thermal analysis. J. Appl. Polym. Sci., 124: 3074–3081
S. Modi, K. Koelling, Y. Vodovotz, “Assessment of PHB with Varying Hydroxyvalerate content for Potential Packaging Applications” European Polymer Journal, 47:2, 179-186, 2011.
John Volakis
Z. Wang, L. Zhang, Y. Bayram, and J.L. Volakis, “Embroidered Conductive Fibers-and-Polymer Composite for Conformal Radio Frequency Applications,”IEEE Trans. Antenn. Propag., vol. 60, no.9 (2012).
David Wood
Wu, W.-Y., Miller, K. D., Coolbaugh, M. J. & Wood, D. W., “Intein-mediated One-step Purification of E. coli Secreted Human Antibody Fragments,” Protein Expression and Purification, Vol. 76, pp. 221-228, (2011).
Yiying Wu
P. Hasin, Y. Wu*, “"Sonochemical Synthesis of Copper Hydride (CuH)", Chem. Comm.,2012, 48, pp 1302-1304 (DOI: 10.1039/C2CC15741A).
Fengyuan Yang
IMR Fiscal Year 2011 -2012 Annual Report
Page 109
L. Fang, X. W. Zhao, Y.-H. Chiu, D. K. Ko, K. M. Reddy,T. R. Lemberger, N. P. Padture, Fengyuan Yang, and E. Johnston-Halperin, “Comprehensive control of optical polarization anisotropy in semiconducting nanowires,” Appl. Phys. Lett. 99, 141101 (2011).
Ji-Cheng (JC) Zhao
Z. Huang, X. Chen, T. Yisgedu, E.A. Meyers, S.G. Shore, J.C. Zhao, “Ammonium Octahydrotriborate (NH4B3H8): New Synthesis, Structure, and Hydrolytic Hydrogen Release,” Inorganic Chemistry, vol. 50, Issue 8, (2011).
D.T. Shane, L.H. Rayhel, Z. Huang, J.C. Zhao, X. Tang, V. Stavila, M.S. Conradi, “Comprehensive NMR Study of Magnesium Borohydride,” J. Phys. Chem., 115 (7), pp. 3172-3177, (2011).
Z. Huang, X. Chen, T. Yisgedu, J.C. Zhao, S.G. Shore, “High-capacity hydrogen release through hydrolysis of NaB3H8,” International Journal of Hydrogen Energy, vol. 36, Issue 12, (2011).
J.C. Zhao, X. Zheng, D.G. Cahill, “High-throughput measurements of materials properties,” Journal of the Minerals, Metals, and Materials Society, vol. 63, Issue 3, (2011).
T.B. Yisgedu, X. Chen, H.K. Lingam, Z. Huang, A. Highley, S. Maharrey, R. Behrens, S.G. Shore, J.C. Zhao, “Synthesis, Structural Characterization, and Thermal Decomposition Study of Mg(H2O)6B10H10·4H2O,” J. Phys. Chem. C, 115 (23), pp. 11793-11802, (2011).
Yi Zhao
X. Zhang and Y. Zhao. 2012. Programmable Patterning of Polymeric Microparticles By Floating Electrodes-Assisted Electrospray. Journal of Micromechanics and Microengineering. Vol 22, 047001.
S. Xu and Y. Zhao. 2011. Monolithic Fabrication of Nanochannels Using Coresheath Nanofibers as Sacrificial Mold. Microfluidics and Nanofluidics. Vol 11, no. 3. :359-365.
H. Zeng and Y. Zhao. 2011. Microfabrication in Electrospun Nanofibers by Electrical Discharges. Sensors and Actuators A: Physical. Vol. 2, no. 162. : 214-218.
B. Kim, X. Zhang, H. Borteh, Z. Li, J. Guan and Y. Zhao. 2012. Fabrication of Porous Microtent Structures Towards An In Vitro Endothelium Model. Journal of Micromechanics and Microengineering, 22 085001.
B. Kim, X. Zhang, H. Borteh, Z. Li, J. Guan and Y. Zhao. 2012. Fabrication of Porous Microtent Structures Towards An In Vitro Endothelium Model. Journal of Micromechanics and Microengineering, 22 085001.
H. Borteh, B. Kim Y. Zhao. 2011. “Porous Microfluics: A Unique Platform for Transvascular Study”. Technical Digest of the 23rd IEEE International Conference on Micro Electro Mechanical Systems (MEMS '11), Cancun, Mexico, January 23-27, 2011.
Publications from work at Center for Chemical and Biophysical Dynamics (CCBD)
IMR Fiscal Year 2011 -2012 Annual Report
Page 110
Xue, Jia-Dan; Vyas, Shubham; Du, Yong; Luk, Hoi-Ling; Chuang, Yung-Ping; But, Tracy Yuen-Sze; Toy, Patrick H.; Wang, Jin; Winter, Arthur H.; Phillips, David Lee; Platz,M.S. “Time-Resolved Resonance Raman and Computational Investigation of the Influence of 4-Acetamido and 4-N-Methylacetamido Substituents on the Chemistry of Phenylnitrene” Journal of Physical Chemistry A 2011, 115(26), 7521-7530.
Kubicki, Jacek; Zhang, Yunlong; Vyas, Shubham; Burdzinski, Gotard; Luk, Hoi Ling; Wang, Jin; Xue, Jiadan; Peng, Huo-Lei; Pritchina, Elena A.; Sliwa, Michel; Platz,M.S. “Photochemistry of 2-Naphthoyl Azide. An Ultrafast Time-Resolved UV-Vis and IR Spectroscopic and Computational Study “Journal of the American Chemical Society” 2011, 133(25), 9751-9761.
Xue, Jiadan; Luk, Hoi Ling; Platz, Matthew S. “Direct Observation of a Carbene-Alcohol Ylide” Journal of the American Chemical Society 2011, 133(6), 1763-1765.
Kuzmanich, G., Xue, J., Netto-Ferreira, J.C, Scaiano, J.C., Platz, Matthew S., Garcia-Garibay, M.A. “Steady state and transient kinetics in crystalline solids: the photochemistry of nanocrystalline 1,1,3-triphenyl-3-hydroxy-2-indanone” Chemical Science 2011 2(8) 1497-1501
Kubicki, J.; Luk, H. L.; Zhang, Y.; Vyas, S.; Peng, H.-L.; Hadad, C. M.; Platz, M. S. Direct Observation of a Sulfonyl Azide Excited State and Its Decay Processes by Ultrafast Time Resolved IR Spectroscopy, J. Am. Chem. Soc. 2012, 134, 7036 – 7044.
Kubicki, J.; Zhang, Y.; Vyas, S.; Burdzinski, G.; Luk, H. L.; Wang, J.; Xue, J.; Peng, H.-L.; Pritchina, E. A.; Sliwa, M.; Buntinx, G.; Gritsan, N. P.; Hadad, C. M.; Platz, M. S. Photochemistry of 2-Naphthoyl Azides. An Ultrafast Time-Resolved UV–Vis and IR Spectroscopic and Computational Study, J. Am. Chem. Soc. 2011, 133, 9751 –9761.
Kubicki, Jacek; Zhang, Yunlong; Vyas, Shubham; Burdzinski, Gotard; Luk, Hoi Ling; Wang, Jin; Xue, Jiadan; Peng, Huo-Lei; Pritchina, Elena A.; Sliwa, Michel; Platz,M.S.. "Photochemistry of 2-Naphthoyl Azide. An Ultrafast Time-Resolved UV-Vis and IR Spectroscopic and Computational Study," Journal of the American Chemical Society, v.133, 2011, p. 9751.
Kuzmanich, G., Xue, J., Netto-Ferreira, J.C, Scaiano, J.C., Platz, Matthew S., Garcia-Garibay, M.A.. "Steady state and transient kinetics in crystalline solids: the photochemistry of nanocrystalline 1,1,3-triphenyl-3-hydroxy-2-indanone," Chemical Science, v.2, 2011, p. 1497.
Tu Siyu; Kim Se Hye; Joseph Jojo; et al. Self-Assembly of a Donor-Acceptor Nanotube. A Strategy To Create Bicontinuous Arrays, Journal of the American Chemical Society Volume: 133 Issue: 47 Pages: 19125-19130 DOI: 10.1021/ja205868b Published: Nov. 30 2011
Joseph, Jojo; Tu, Siyu; Kim, Se Hye; Parquette, Jon R.; Modarelli, David A. Photoinduced Electron Transfer studies in Self-assembled Porphyrin-Naphthalenediimide Dyads, Abstracts, 43rd Central Regional Meeting of the American Chemical Society, Dearborn, MI, United States, June 5-9 (2012), CERM-296.
IMR Fiscal Year 2011 -2012 Annual Report
Page 111
hao, Hui; Gao, Min; Kim, Se Hye; Jaroniec, Christopher P.; Parquette, Jon R. Aqueous Self-Assembly of L-Lysine-Based Amphiphiles into 1D n-Type Nanotubes, Chemistry--A European Journal (2011), 17(46), 12882-12885, S12882/1-S12882/28.
Chisholm Malcolm H. Incorporating MM Quadruple Bonds Into Conjugated Organic Oligomers:
Syntheses and Optoelectronic Properties, Macromolecular Chemistry and Physics Volume: 213 Issue: 8 Special Issue: SI Pages: 800-807 DOI: 10.1002/macp.201100656 (2012)
Keller Julia M.; Glusac Ksenija D.; Danilov Evgeny O.; et al. Negative Polaron and Triplet Exciton Diffusion in Organometallic "Molecular Wires," Journal of the American Chemical Society Volume: 133 Issue: 29 Pages: 11289-11298 DOI: 10.1021/ja202898p (2011) By Hauser, A. J.; Soliz, J. R.; Dixit, M.; Williams, R. E. A.; Susner, M. A.; Peters, B.; Mier, L. M.; Gustafson, T. L.; Sumption, M. D.; Fraser, H. L.; et al Fully ordered Sr2CrReO6 epitaxial films: a high-temperature ferrimagnetic semiconductor, Physical Review B: Condensed Matter and Materials Physics (2012), 85(16), 161201/1-161201/4 Joseph, Jojo; Tu, Siyu; Kim, Se Hye; Parquette, Jon R.; Modarelli, David A.. Photoinduced Electron Transfer studies in Self-assembled Porphyrin-Naphthalenediimide Dyads Full Text , Abstracts, 43rd Central Regional Meeting of the American Chemical Society, Dearborn, MI, United States, June 5-9 (2012) Hauser, A. J.; Soliz, J. R.; Dixit, M.; Williams, R. E. A.; Susner, M. A.; Peters, B.; Mier, L. M.; Gustafson, T. L.; Sumption, M. D.; Fraser, H. L.; et al ; Fully ordered Sr2CrReO6 epitaxial films: a high-temperature ferrimagnetic semiconductor; From Physical Review B: Condensed Matter and Materials Physics (2012), 85(16), 161201/1-161201/4.
Lindsey, J. W.; Scott, T. F.; Lynch, S. G.; Cofield, S. S.; Nelson, F.; Conwit, R.; Gustafson, T.; Cutter, G. R.; Wolinsky, J. S.; Lublin, F. D.; et al; The CombiRx trial of combined therapy with interferon and glatiramer acetate in relapsing remitting MS: design and baseline characteristics; From Multiple Sclerosis and Related Disorders (2012), 1(2), 81-86.
Durr, Christopher B.; Chisholm, Malcolm H.; Brown-Xu, Samantha E.; Spilker, Tomas F.; Gustafson, Terry L.; Synthesis, Characterization and Photophysics of a New Class of Inorganic Ligands for Metal-Metal Multiply Bonded Compounds; From Abstracts, 43rd Central Regional Meeting of the American Chemical Society, Dearborn, MI, United States, June 5-9 (2012)
Wilfong, Erin M.; Kogiso, Yuri; Muthukrishnan, Sivaramakrishnan; Kowatz, Thomas; Du, Yu; Bowie, Amber; Naismith, James H.; Hadad, Christopher M.; Toone, Eric J.; Gustafson, Terry L.; Multidisciplinary approach to probing enthalpy-entropy compensation and the interfacial mobility model; From Abstracts
IMR Fiscal Year 2011 -2012 Annual Report
Page 112
of Papers, 243rd ACS National Meeting & Exposition, San Diego, CA, United States, March 25-March 29, 2012 (2012)
Wilfong, Erin M.; Kogiso, Yuri; Muthukrishnan, Sivaramakrishnan; Kowatz, Thomas; Du, Yu; Bowie, Amber; Naismith, James H.; Hadad, Christopher M.; Toone, Eric J.; Gustafson, Terry L.; A Multidisciplinary Approach to Probing Enthalpy-Entropy Compensation and the Interfacial Mobility Model; From Journal of the American Chemical Society (2011), 133(30), 11515-11523.
Wilfong Erin M; Kogiso Yuri; Muthukrishnan Sivaramakrishnan; Kowatz Thomas; Du Yu; Bowie Amber; Naismith James H; Hadad Christopher M; Toone Eric J; Gustafson Terry L; A multidisciplinary approach to probing enthalpy-entropy compensation and the interfacial mobility model; From Journal of the American Chemical Society (2011), 133(30), 11515-23.
IMR Fiscal Year 2011 -2012 Annual Report
Page 113
External Funding Seeded by IMR Research Enhancement Program Grants 2007-2012
Note: This list relies on self reporting and is likely to be underestimated; an asterisk (*) indicates those items obtained through leveraging more than one IMR-supported activity
Conjugated polymer tunneling devices for plastic electronic memory, NSF DIV Elect, Comm, & CyberSystems, PI: Paul Berger, 6/15/2010-5/31/2013, $241,547
GOALI: Passive millimeter-wave imaging using monolithic Si-based square-law detectors for security and transportation safety, , PI: Paul Berger, 9/15/2010-8/31/2013, $412,000
Mechanically reliable surfaces for superhydrophobicity, self-cleaning and drag reduction, NSF Div Civil, Mechanical & Maufact Innv, PI: Bharat Bhushan, 8/15/2010-7/31/2013, $300,000
Synthesis of amphiphilic core-shell latex emulsions from soy proteins and delivery of corrosion inhibitors and biocides for coatings applications, Sponsor Confidential, PI: Dennis Bong, Co-I: Stephen Myers, 8/1/2008-7/31/2011, $150,000
Development of AlGaN biosensor sensitive in physiological saline, NSF Div Chem, Bioeng, Environ, & Trnsp S, PI: Stephen Lee, Co-I: Leonard Billson, Wu Lu, 8/2/2008-5/31/2012, $350,000
Hermetic seals for organic semiconductors, , PI: Paul Berger, 2/26/2008-6/26/2008, $10,000
Conjugated polymer tunneling devices for plastic electronic memory, NSF DIV Elect, Comm, & CyberSystems, PI: Paul Berger, 6/15/2010-5/31/2013, $354,000
Integrated ultrasonic additive manufacturing and laser machining for realization of novel smart structures, Ohio Department of Development, PI: Marcelo Dapino, Co-I: Sudarsanam Babu Suresh, $1,551,987
Collaborative research: Smart Vehicle Concepts Center (NSF I/UCRC), NSF Engineering, PI: Rajendra Singh, Co-Is: Marcelo Dapino, Gregory Washington, 07/01/2007-12/31/2012, $829,184
Smart vehicle concepts center (NSF I/UCRC) - Industrial Membership, Massachusetts Inst Tech - Lincoln Lab, PI: Rajendra Singh, Co-Is: Marcelo Dapino, Gregory Washington, 04/01/2007 – 06/30/2017, $40,000
Smart vehicle concepts center (NSF I/UCRC) - Industrial Membership, Edison Welding Inst Inc., PI: Rajendra Singh, Co-Is: Marcelo Dapino, Gregory Washington, 04/01/2007 – 06/30/2017, $160,000
Electric and magnetic measurements of photomagnet-fullerosome conjugates, UES Inc., PI: Arthur Epstein, 09/23/2010-08/23/2011, $30,000
IMR Fiscal Year 2011 -2012 Annual Report
Page 114
Multifunctional hydrogels as stem cell carriers for cardiac therapy, NSF Div Materials Research, PI: Jianjun Guan, Co-I: Sudha Agarwal, 9/15/2010-8/31/2013, $300,000
MRI: Acquisition of a hybrid diamond/III-N synthesis cluster tool, NSF Div Materials Research, PI: Ezekiel Johnston-Halperin, Co-Is: Harris Kagan, Roberto Myers, Siddharth Rajan, Steven Ringel, Fengyuan Yang, 10/01/2009-09/30/2012, $421,353*
High performance nuclear magnetic resonance imaging using magnetic resonance force microscopy, Army Res Office, PI: P. Chris Hammel, 07/15/2009-07/14/2013, $555,000
Electrical spin injection at chemically modified organic/inorganic interfaces, NSF Div Materials Research, PI: Ezekiel Johnston-Halperin, Co-I: Arthur Epstein, 06/01/2012-05/01/2015, $125,675
Collaborative research: Scaling laws for NanoFET biosensors, NSF DIV Elect, Comm, & CyberSystems, PI: Wu Lu, 10/01/2008-09/30/2013, $228,772
In vivo monitoring of oxygenation in implants: Applications to tissue engineering, National Heart, Lung, and Blood Inst, PI: Nicanor Moldovan, Co-Is: Keith Gooch, Periannan Kuppusamy, John Lannutti, 05/01/2010-04/30/2014, $1,139,938
Gas sensor array devices based on nanostructured metal oxides, Edward J Orton Jr Ceramic Fdn, PI: Patricia Morris, Co-I: Sheikh Akbar, 10/01/2007-12/31/2011, $289,671
Epitaxial growth of highly confined nitride nanostructures toward short wavelength quantum cascaded and ultrafast optical devices, Office of Naval Res, PI: Roberto Myers, 08/12/2009—04/30/2013, $476,969
MRI: Acquisition of high field physical properties measurement system with cryogenic AFM/MFM, NSF Div Materials Research, PI: P.Chris Hammel, Co-Is: Roberto Myers, Nitin Padture, Jessica Winter, Patrick Woodward, 10/01/2010-09/30/2012, $504,129
SPINCATS, an investigation of spin caloric transport in magnetic semiconductors, NSF Div Chem, Bioeng, Environ, & Trnsp S, PI: Roberto Myers, Co-I: Joseph Heremans, 09/01/2011-08/31/2014, $350,000
CAREER: Volatiles in the Earth's interior: A combined theoretical and experimental approach, NSF Div Earth Sciences, PI: Wendy Panero, 12/15/2009-11/30/2014, $225,986
Nanometer-scale studies of contacts to nanowires, advanced oxide films, and molecular layers, NSF Div Materials Research, PI: Jonathan Pelz, 07/01/2008-06/30/2013, $336,216
Modulation of macro and micro ECM mechanics by DDR1, NSF Div Civil, Mechanical & Maufact Innv, PI: Gunjan Agarwal, Co-Is: Peter Anderson, Gregory Lafyatis, Heather Powell, 05/01/2012-04/30/2015, $392,000
AlGaN/GaN 1-dimensional channel HEMT, Office of Naval Research, PI: Siddharth Rajan, 02/25/2009-12/31/2012, $339,348
IMR Fiscal Year 2011 -2012 Annual Report
Page 115
Investigation of electron transport properties in N-polar AlGaN/GaN HEMTs, Office of Naval Research, PI: Siddharth Rajan, 07/01/2009-04/30/2011, $140,000
High-performance graphene-based devices, NSF DIV Elect, Comm, & CyberSystems, PI: Siddharth Rajan, Wolfgang Windl, 08/01/2009-07/31/2013, $350,000
III nitride NEMS devices for chemical and biological sensing, NSF Div Civil, Mechanical & Maufact Innv, PI: Wu Lu, Co-I: Siddharth Rajan, 10/01/2009-10/31/2012, $366,000
I-SMART: Integrated curriculum for smart power engineering, Department of Energy, PI: Jin Wang, Co-Is: Betty Lise Anderson, Jose Cruz, Eylem Ekici, Liang-Shih Fan, Donald Kasten, Kevin Passino, Siddharth Rajan, Steven Ringel, Andrea Serrani, Longya Xu, $2,499,939
Center for high performance power electronics (CHPPE), Ohio Department of Development, PI: Longya Xu, Co-Is: Donald Kasten, Wu Lu, Siddharth Rajan, Jin Wang, 07/19/2010-07/18/2013, $3,000,000
Dielectric enhancements for innovative electronics - DEFINE MURI, Univ of California - Santa Barbara, PI: Steven Ringel, Co-I: Siddharth Rajan, 08/01/2010-07/31/2013, $541,252
Fluorescent-magnetic nanomanipulators for cytoskeletal mechanical investigations, NSF Div Civil, Mechanical & Maufact Innv, PI: Jessica Winter, Co-I: Anthony Brown, Jeffrey Chalmers, 07/01/2009-05/31/2012, $313,433
Mechanical properties by light scattering, Semiconductor Res Corp, PI: Ratnasingham Sooryakumark 04/01/2012-03/31/2015, $115,000
Design, synthesis, and photochemistry of new Ru(II) complexes as potential photo-cisplatin analogs, NSF Div Chemistry, PI: Claudia Turro, 08/01/2009-07/31/2013, $690,000
Autonomous non-battery wireless strain gage for structural health testing and monitoring in extreme environments, Syntonics, LLC, PI: Yakup Bayram, Co-Is: Eric Walton, Jonathan Young, 05/08/2009-02/28/2010, $30,208
High temperature sensing parameters, Syntonics, LLC, PI: Yakup Bayram, Co-Is: Eric Walton, Jonathan Young, 05/07/2008-08/23/2012, $286,445
Structural health monitoring phase II, Sytonics, LLC, PI: Eric Walton, Co-Is: Yakup Bayrum, Jonathan Young, 01/01/2011-12/14/2012, $244,245
Optical study of spin dynamics in semiconductor nanowires, US Department of Energy, PI: Fengyuan Yang, Co-I: Ezekiel Johnston-Halperin, 08/15/2009-05/14/2015, $820,000
CAREER: Integrated micro-electro-mechanical-system for cellular mechanotransduction studies, NSF Biological Infrastructure, PI: Yi Zhao, 03/01/2010-02/28/2015, $439,193
IMR Fiscal Year 2011 -2012 Annual Report
Page 116
Appendix C
Activities of Members of Technical Staff (MTS) for Fiscal Year 2011 – 2012
Dr. John Carlin, Research Scientist, Nanotech West Laboratory Dr. Evgeny Danilov, Senior Research Associate, Center for Chemical and
Biophysical Dynamics Dr. Robert J. Davis, Director, Nanotech West Laboratory and Associate
Director, Institute for Materials Research Dr. Denis V. Pelekhov, Research Scientist, ENCOMM NanoSystems
Laboratory Aimee Bross Price, Senior Research Associate, Nanotech West
Laboratory
IMR Fiscal Year 2011 -2012 Annual Report
Page 117
IMR Member of Technical Staff 2012 Activity Summary Dr. John A. Carlin, Research Scientist, Nanotech West Laboratory
Dr. Carlin is a lead scientist located at OSU’s Nanotech West Laboratory (NTW). He currently serves as co-PI on two active research grants (detailed in the section below) and is a co-PI on three new proposals submitted in FY12 which are still under review. In addition to those research activities, Dr. Carlin contributes much time to the day-to-day operation of the NTW cleanroom and expanding the process knowledge and capabilities to meet the growing needs of the NTW user base. These activities have included tool training for new users, tool acquisition and installations, process development and documentation, assisting internal and external users with project and process planning and serving on the NTW cleanroom user committee. Dr. Carlin has also been responsible for directing the activities of the various undergraduate students (10 students throughout FY12) hired to provide laboratory and process support to the NTW user base. While currently the primary contact for seven pieces of synthesis, fabrication and metrology equipment, during FY12, activity surrounding the metal organic chemical vapor deposition (MOCVD) system received particular attention. Two hundred fifty one total deposition runs were executed during FY12 on various research projects resulting in user fees in excess of $45,000. Active Research Projects During FY11/FY12 Dr. Carlin was co-PI on two proposals which were awarded funding and effort initiated during FY12. For both projects, a portion of Dr. Carlin’s time was contributed by IMR as cost share during the proposal phase to satisfy budgetary requirements of the RFP’s. “High Efficiency Photovoltaic Enabled Off-Grid Solar/Led Lights”, awarded by Ohio Third Frontier in collaboration with Energy Focus Inc (PI), Replex Plastics and Lighting Services Inc. November 2011 – October 2013. Total award = $1,000,000 (OSU award = $345,000). “III-V/Active-Si Integration for Low-Cost High-Performance Concentrator Photovoltaics”, awarded by Department of Energy: Energy Efficiency and Renewable Energy to OSU in collaboration with Emcore Corporation, National Renewable Energy Lab and Massachusetts Institute of Technology. January 2012 – December 2014. Total award = $1,500,000 (OSU award = $750,000). Publications and Presentations C. Ratcliff, T. J. Grassman, J. A. Carlin, and S. A. Ringel. “High temperature step-flow growth of gallium phosphide by molecular beam epitaxy and metalorganic chemical vapor deposition” Appl. Phys. Lett. 99, 141905 (2011). Javier Grandal, Tyler J. Grassman, Andrew M. Carlin, Mark R. Brenner, Beatriz Galiana-Blanco, John A. Carlin, Limei Yang, Michael J. Mills, and Steven A. Ringel, “Growth and characterization of InGaAs
IMR Fiscal Year 2011 -2012 Annual Report
Page 118
quantum dots on metamorphic GaAsP templates by molecular beam epitaxy”, presented at 38th IEEE Photovoltaic Specialists Conference, Austin, TX, USA, 2011. Proceedings in print. John A. Carlin, OSU ENCOMM weekly seminar series, “III-V MOCVD Capabilities at OSU and Utilization in Current Research Programs”, February 2012. General NTW Impact Summary
Provided day-to-day management and support of cleanroom operation and activities
Coordinated, managed and assisted in providing remote services for various internal and external NTW customers [MOCVD (Yang, Hamels, Johnston-Halperin, Myers) as well as other NTW processing services]
Process and user base development for MOCVD resulting in 251depositions during FY12 resulting in >$45,000 of billable user fees
Coordinated with OSU EH&S to meet Department of Homeland Security reporting requirements for NTW Room 200. Coordinated with OSU Security Services and OSU FOD to implement safety upgrades as required.
Member of cleanroom faculty user committee formed to provide advice and oversight to improve the cleanroom operation and user effectiveness
Attended and facilitated discussion at a monthly pizza luncheon implemented to improve communication between the community of NTW cleanroom users
Primary training and support contact for seven pieces of synthesis, fabrication and metrology equipment
Provided direct process and project planning to new and old, internal and external NTW users
Process support for the atomic layer deposition (ALD) system including depositions for external customers
Managed student engineering interns (10 throughout FY12) including hiring and planning short and long term activities
Assisted in hiring process (HR process and interview process) for NTW equipment engineer [Position filled by Peter Janney]
Coordinated hiring process (HR process and interview process) for NTW MOCVD/Safety technician
Assisted in coordination and installation and/or process start-up of various pieces of new equipment (Woollam ellipsometer, AGA 610 RTA, Plasma Therm PECVD)
IMR Fiscal Year 2011 -2012 Annual Report
Page 119
Outreach and engagement activities (e.g. representing OSU at a conference, tours of labs to outside groups):
o Staffed IMR information booth at the Ohio State Research Expo to develop new interactions.
o Provided tours of Nanotech West to both OSU and external parties including: faculty candidates, IMR review board members, postdoc and graduate student candidates, undergraduate students, IMR and ECE seminar visitors and external industry visitors and interested lab users.
IMR Fiscal Year 2011 -2012 Annual Report
Page 120
IMR Member of Technical Staff 2012 Activity Summary Dr. Evgeny Danilov, Senior Research Associate, Center for Chemical and
Biophysical Dynamics (CCBD) In FY 2012, Dr. Danilov continued serving the Chemistry and Materials Research community as the CCBD Manager. His main responsibilities include the regular maintenance of the CCBD instrumentation, necessary repairs, CCBD budget planning and user billing, upgrading current and designing new instrumentation, setting up experiments and writing data acquisition software, performing experiments, training users on the CCBD instrumentation and laser safety. In FY 2012, Dr. Danilov kept all CCBD femtosecond setups operational 100% of time. He has completed four major facility upgrades described in the CCBD Highlights and Accomplishments during FY2012 section. Dr. Danilov’s academic activities in FY 2012 included preliminary experiments for and participating in writing an NSF proposal submitted and approved for funding (PIs Profs. Platz, Hadad) and co-authoring one research publication: Negative Polaron and Triplet Exciton Diffusion in Organometallic "Molecular Wires" Author(s): Keller Julia M.; Glusac Ksenija D.; Danilov Evgeny O.; et al.; Source: JOURNAL OF THE AMERICAN CHEMICAL SOCIETY Volume: 133 Issue: 29 Pages: 11289-11298 DOI: 10.1021/ja202898p Other related professional activities included
Attended a workshop on parallel computing and quantum chemical calculations.
Attended the 66-th International Symposium on Molecular Spectroscopy, 2011 IMR Materials Week conference; regularly (1-2 times / month) attending Department and IMR seminars; regularly attended and presented at Dr. Gustafson’s group meetings.
Attended the National Center for Faculty Development and Diversity’s coaching “Faculty Success Program” as a part of College of Arts and Sciences Staff Professional Development program.
Outreach and Engagement Activities
Created informational write-ups in the form of Power Point presentations clarifying the details and underlying optical physics related to the operation of lasers and ultrafast instrumentation providing students with a background for better understanding of the instrument operation and data analysis. Six modules have been created and placed on the CCBD network.
Prepared and provided comments/suggestions to the NSF Materials Division directorate’s webinar on the development of mid-size multiuser facilities for Materials Research.
Provided members of the Institute for Materials research with laser safety materials and laser standard operating procedures developed earlier in the CCBD.
IMR Fiscal Year 2011 -2012 Annual Report
Page 121
Actively participated in EHS chemical safety campaign by ensuring compliance of Dr. Gustafson’s labs with EHS regulations.
Led the effort to make sure all CCBD users complete the laser safety course developed by EHS.
IMR Fiscal Year 2011 -2012 Annual Report
Page 122
IMR Member of Technical Staff 2012 Activity Summary Dr. Robert J. Davis, Director, Ohio State Nanotech West Laboratory, Associate
Director, IMR, Co-Director, Ohio Wright Center for Photovoltaics Innovation and Commercialization (PVIC)
Refereed Publications and Conference Presentations “Design and Construction of a ~7x Low-Concentration Photovoltaic System Based on Compound Parabolic Concentrators”, Mark A. Schuetz, Scott A. Brown, Kara A. Shell, Roger H. French, and Robert J. Davis, IEEE J. Photovoltaics 2, 382 (July 2012). “Design and Performance of a Low-Cost Acrylic Reflector for a ~7x Concentrating Photovoltaic Module”, K.A. Shell, Scott A. Brown, Mark A. Schuetz, Robert J. Davis, and Roger H. French, Proceedings of the SPIE Vol. 8108 (Conference on High and Low Concentration Systems for Solar Electric Applications VI, SPIE, San Diego CA), page 81080A (September 2011). Conferences Organized First PVIC Workshop on Solar Durability, September 2011, Longaburger Alumni House, Ohio State University (approximately 60 attendees, 10 speakers). Current Research Funding “Ohio Wright Center for Photovoltaics Innovation and Commercialization”, $6.9M plus OSU matching funds, 27 February 2007 to 27 November 2011, Ohio Third Frontier Program. PVIC is now continuing operations on membership fees. “Low-Cost Low-Concentration Photovoltaics Systems for Mid-Northern Latitudes”, with Replex Plastics, Mt. Vernon OH, OSU share $357,500, 01 February 2010 – 28 December 2012. “Low Cost Concentrated PV Design”, with GreenField Solar, Oberlin OH, OSU share $50,000, 01 April 2011- 31 March 2013. “Development of Nanometer-Scale Design Structures for Nanoimprint Lithography Use for Non-Linear Optical Parametric Amplifiers”, Air Force Research Lab / Universal Technology Corporation, 28 June 2012 – 06 April 2013, $26,800. Proposal Activities
PI of the Ohio Sensors and Semiconductor Innovation Platform (OSSIP), a $2.6M proposal to the Ohio Third Frontier Program, with L-3 (Mason OH), Momentive Performance Materials (Strongsville OH) and Lake Shore Cryotronics (Westerville OH) as collaborators. Proposal was one of 13 (of a total of 37 submitted) selected to proceed to the Interview (verbal) verbal stage but ultimately was not one of the 6 that was funded.
IMR Fiscal Year 2011 -2012 Annual Report
Page 123
Co-PI of a Department of Energy SunShot Incubator Proposal, led by Replex Plastics, also with Case Western as a collaborator, in review as of July 2012. Proposal made it through concept paper stage and was invited for a full proposal.
See also Air Force nanoimprint lithography program above for proposal of same name submitted May 2012.
Other Ohio State University Representation Attended the IEEE Photovoltaics Specialist Conference, Austin TX, June 2012. IMR Activities
Served as Associate Director in FY12, attending Director’s Meetings to discuss issues including programs, budgets, Materials Week symposium, and guest speakers.
Served as a reviewer for two rounds of IMR Facilities Grants.
Nanotech West Activities Davis continued as Director of the Ohio State Nanotech West Lab; a separate report of Nanotech West is in this IMR report. In summary, Nanotech West Lab activity continues to grow; user fee income grew 18% over its FY11 total to $493k. Refer to the separate Nanotech West report for extensive details on FY12 activity at that lab. PVIC Activities Davis continues to Co-Direct the Ohio Wright Center for Photovoltaics Innovation and Commercialization (PVIC), an $18.6M research and development program funded by the Ohio Third Frontier Program with the goal of creating jobs in Ohio via the commercialization of advanced technology. A major challenge in FY13 will be the transition of PVIC from its Third Frontier initial funding, which ended in late November 2011. PVIC will continue to organize meetings, which its industry members have communicated is its most important function. Other Activities
Davis continues to serve on the Proposal Review Board of the Center for Nanophase Materials Sciences of the Oak Ridge National Laboratory.
Davis was a Section Editor for the future Springer Encyclopedia of Nanotechnology, which will be published in late 2012.
Davis served on the Advisory Board for the Ferro/StrateNexus/Edison Welding / Ohio State U. Ohio Third Frontier Program on Advanced Sealants for Photovoltaics, which concluded in June 2012.
In late FY12 Davis began serving on a small committee that is tasked with selecting a location on the University main campus for the 2011 Ohio State Solar Decathlon House.
IMR Fiscal Year 2011 -2012 Annual Report
Page 124
IMR Member of Technical Staff 2012 Activity Summary Dr. Denis V. Pelekhov, Ph.D., Research Scientist, NanoSystems Laboratory
Dr. Denis V. Pelekhov is the Director of the NanoSystems Laboratory (NSL) which is an OSU user facility located in the Physics Research Building on OSU’s Columbus campus. The primary goal of NSL is to provide academic and industrial users with access to various material characterization and fabrication techniques including Focused Ion Beam/Scanning Electron Microscopy, X-ray diffractometry, SQUID magnetometry, Atomic Force/Magnetic Force microscopy, EDS X-ray microanalysis, Langmuir-Blodgett trough monolayer deposition, e-beam lithography, physical vapor material deposition and diamond growth. Dr. Pelekhov oversees day to day operations of the facility; directs NSL staff consisting of two permanent technical staff members, one administrative assistant and several undergraduate research assistants; interacts with equipment vendors and suppliers for existing equipment repairs, upgrade and maintenance; oversees purchase of new equipment including negotiations of equipment specifications, design and price; oversees development and implementation of laboratory safety measures and protocols including chemical safety and chemical waste disposal; conducts training of NSL users in use of laboratory equipment; maintains online facility data acquisition software for NSL, SEAL and Dreese clean room; works with potential and current NSL industrial customers in order to guarantee their satisfaction with provided services and to expand industrial customer base. Publications I. Lee, Y. Obukhov, J. Kim, X. Li, N. Samarth, D. V. Pelekhov and P. C. Hammel. “Local magnetic characterization of (Ga, Mn) As continuous thin film using scanning probe force microscopy." Phys. Rev. B, 85(18) 184402 (2012)
K. C. Fong, M. R. Herman, P. Banerjee, D. V. Pelekhov and P. C. Hammel. “Spin lifetime in small ensembles of electron spins measured by magnetic resonance force microscopy." Phys. Rev. B, 84(22) 220405 (2011)
I. Lee, J. Kim, Y. Obukhov, P. Banerjee, G. Xiang, D. V. Pelekhov, A. Hauser, F. Yang and P. C. Hammel. “Magnetic force microscopy in the presence of a strong probe field." Appl. Phys. Lett., 99(16) 162514 (2011)
F. Wolny, Y. Obukhov, T. Muehl, U. Weissker, S. Philippi, A. Leonhardt, P. Banerjee, A. Reed, G. Xiang, R. Adur, I. Lee, A. J. Hauser, F. Y. Yang, D. V. Pelekhov, B. Buechner and P. C. Hammel. “Quantitative magnetic force microscopy on permalloy dots using an iron filled carbon nanotube probe." Ultramicroscopy, 111(8) 1360-1365 (2011)
Presentations
Made a poster presentation at Spin Master Voice workshop “Challenges and opportunities of Spin-Transfer Nano-Oscillators”, Château Villiers le Mahieu , France, December 14-16 2011
IMR Fiscal Year 2011 -2012 Annual Report
Page 125
Presented an talk “Nanoscale Scanning Probe Magnetic Resonance Imaging and its Applications” at IFW Dresden, Germany, December 19 2011
General NSL Impact Summary
Supervised installation and commissioning of seven new pieces of equipment including 14 T Quantum Design PPMS, Kurt J. Lesker Physical Vapor Deposition system, Evico Magnetics Magneto-Optical Kerr microscope, TerraHz time domain spectrometer, 9 T Quantum Design PPMS, 7 T Quantum Design PPMS and Quantum Design MPMS-XL
Conducted extensive testing and commissioning of a PPMS compatible cryogenic Atomic Force Microscope/Magnetic Force Microscope (AFM/MFM) delivered by ION-TOF GmbH
Supervised installation of a safety shower/eyewash system in NSL clean room as a part of ongoing effort to maintain the highest standards of operational safety
Implemented Local Area Network for NSL instrument computers thus simplifying data transfer and ensuring protection of NSL computers from viruses and malware
Conducted NSL tour for Physics 133 Honors Lab students
Organized a 3-day CEM Workshop on Magnetic Domains. The workshop was sponsored by the OSU Center for Emergent Materials (CEM) and was presented by Dr. Rudolf Schäfer (IFW, Dresden, Germany) on September 19-21, 2011 in room 4138 PRB.
Overall NSL Performance During FY2012
Revenues from user fees: $169,000.00 (increase of 12% compared to FY2011)
Number of supported research groups (PIs): 48(including four industrial customers)
Number of supported users: 163 (increase of 39% compared to FY2011)
Number of accounts/research projects that benefited from NSL use : 120 (increase of 74% compared to FY2011)
Estimated amount of funding in the accounts/research projects that benefited from NSL use: $17M
IMR Fiscal Year 2011 -2012 Annual Report
Page 126
IMR Member of Technical Staff 2012 Activity Summary Ms. Aimee Bross Price, Senior Research Associate, Nanotech West Laboratory
Ebeam Lithography
30 individual users performing either direct write EBL or mask fabrication
8 of these have become independent using the tool, with just higher level support from me
Worked with ENCOMM EBL users on process development
Delegated mask wet processing completely to undergraduate interns
$26k Air Force project awarded to NTW based on ebeam work
o ~2yrs of discussion preceding project award
SEM
29 new SEM users trained as compared to 30 last year
New Superuser
Started training uses on EDS/SDD ~ 3 users currently trained
ALD
Took over training/certification from John Carlin – need a new superuser
Run 400+ samples for external company
Spec’d, purchased, and qualified glovebox for ALD precursors (Pete installed)
Orientation Overhaul
Worked with P. Steffen to make orientation more efficient and effective
Resulted in breaking down single long tour into 3 shorter tours
2 New Documents with 1 more to come – BHL tour
In coming year will improve togging documentation (powerpoint, video, etc)
EDS/SDD Detector Purchase
Spec’d, purchased, and qualified Oxford EDS/SDD
including one day trip to M&M Nashville to compare 4 major vendors at one time
A few users at the moment, need to increase usage in the coming year
Training in California for me and possibly D. Ditmer in October ‘12 or January ‘13
Documentation Effort at Nanotech West
TMAH safety – official, was draft last year
IMR Fiscal Year 2011 -2012 Annual Report
Page 127
NTW Building Basic Training/Tour
Cleanroom Basic Training/Tour
Engineering Document for Ebeam – ongoing
Revised ETC01 spec
Chemical List – in process
Outreach
Mentored two UA Freshman Girls through entire State Science Fair procedure - from topic choice through award ceremony
Led numerous tours for visiting faculty, faculty candidates, student groups etc.
IMR Fiscal Year 2011 -2012 Annual Report
Page 128
Appendix D
2011 – 2012 IMR Facility Grant Awards
IMR Fiscal Year 2011 -2012 Annual Report
Page 129
Winter 2012 Facility Grants Awarded by the OSU Institute for Materials Research (IMR)
Eleven new research projects were awarded by the IMR in December 2011, for a total investment of $22,000 in nascent materials research. The eleven projects support faculty researchers from seven departments within the College of Engineering, College of Food, Agricultural, and Environmental Sciences, and the Division of Natural and Mathematical Sciences.
Detecting Iron-Bound Proteins with MFM and SQUID Magnetometry Lead Investigator: Gunjan Agarwal, Biomedical Engineering It is well known that iron is an essential element in human physiology; yet too much or too little iron can be quite detrimental. For instance, an increase in body iron stores, termed as iron overload, is a consequence of several pathologies including hemochromatosis, chronic anemia and cirrhosis. When left untreated, iron overload can cause heart arrhythmias and can even lead to cardiac injury and congestive heart failure. The lack of sensitive techniques capable of directly measuring iron content is a major limitation in detecting iron overload. We propose here to adapt bioengineering techniques like SQUID magnetometry and magnetic force microscopy to exploit the magnetic properties of iron-bound proteins for direct evaluation of iron concentration in the blood. Self Patterning of Zirconia Substrate Surfaces for Biological Applications Lead Investigator: Sheikh Akbar, Materials Science and Engineering; Co-Investigator: Jessica Winter, Chemical & Bio-molecular Engineering We have recently discovered a unique nanobar morphology on yttria-stabilized zirconia (YSZ) (110) single crystal surfaces by doping with gadolinia-doped ceria (GDC). Our objective is to understand the mechanism by which these nanobars form and align parallel to certain crystallographic directions on the YSZ substrate surface. This work would involve microstructural characterization of the nanobars which would require use of focused ion beam (FIB), scanning electron microscopy (SEM) and transmission electron microscopy (TEM) facilities. Steep Sub-Threshold Si/SiGe and III-V Quantum Tunneling Transistors Lead Investigator: Paul R. Berger, Electrical and Computer Engineering The goal of this project is to rapidly prototype 3-terminal quantum tunneling transistors for steep subthreshold slopes by extending the PI’s extensive work on resonant interband tunneling diodes (RITD). A paradigm shift with future device scaling from standard MOSFET topologies, where temperature effects limit the slope to 60 mV/decade, towards tunneling based incarnations, where tunneling is virtually temperature independent, is envisioned. The PI’s past collaborative work with the Naval Research Laboratory on 2-terminal Si/SiGe tunneling devices has created a library of understanding of tunneling devices and the materials growth and processing necessary to shape well defined degenerately doped quantum wells with active doping above 1020 cm-3 and with a waist of only 1 nm! This know-how will now be applied towards the demonstration of SiGe and III-V tunnel FETs with concurrent high ON currents, low OFF currents and subthreshold slopes below 60 mV/decade.
IMR Fiscal Year 2011 -2012 Annual Report
Page 130
Nanoscale Tribocharging Mechanism and Mechanical Properties Investigation of Novel Organic and Inorganic Nano-Object-Petroleum Hybrid Lubricants Lead Investigator: Bharat Bhushan, Mechanical and Aerospace Engineering The investigation of the effects of tribocharging and scale on mechanical properties of nano-objects, such as nanotubes, nanobuds and nanohorns from compounds such as molybdenum disulfide, tungsten disulfide and carbon and their incorporation into petroleum-based lubricants, is proposed in this research. Incorporating these known solid lubricants into petroleum-based oils may lead to enhanced lubricity, however, as sliding progresses over time, an increase in attractive electrostatic forces could lead to greater adhesion. During sliding, nano-object aggregation may occur, leading to changes in morphology of the adhered nano-objects and change in the mechanical properties. The use of atomic force microscopy (AFM), electrostatic force microscopy (EFM) and environmental scanning electron microscopy (ESEM) provides the mechanism for the characterization of morphology and charge density. Initially, nano-objects will be deposited on metal and ceramic substrates either as dry nano-objects or as dispersions in petroleum-based oils using a spincoater, then tribocharging studies will be performed using AFM and EFM to correlate adhesion and electrostatic attraction and finally, mechanical properties will be evaluated using the Hysitron nanoindenter. This research will lead to an enhanced understanding of the properties of inorganic nanotube, nanobuds and nanohorns, and will lead to the creation of next generation petroleum-based oils with enhanced properties. High Temperature Irradiation Effects on Optical Fiber Dopant Migration Lead Investigator: Thomas Blue, Mechanical & Aerospace Engineering; Co-Investigator: Wolfgang Windl, Materials Science and Engineering We propose to quantify the migration of dopants in silica optical fibers subjected to high temperature operation in a nuclear reactor radiation environment. The results of this work are generally applicable to existing optically based instrumentation and specifically relevant to development of optically based instrumentation for nuclear reactor environments. Optical instrumentation is already commercial-off-the-shelf technology for mundane environments and the purpose of this research is to determine the feasibility of extending the technology to the harsh environments of future high temperature reactors. When optical fibers are heated beyond 400°C, optical attenuation increases even in the absence of radiation. The attenuation increase is a result of several effects including diffusion of dopants within the fiber, diffusion of impurities into the fiber, mechanical stresses, and crystallization of the fiber. Irradiation by neutrons and gamma rays will cause additional damage to the fiber. The effects of these damage mechanisms are difficult to separate and quantify based on optical attenuation data alone. Quantifying the dopant migration and correlating those results with optical attenuation data will enable the separation of the effects and will establish bounding thermal and radiation conditions for long term use of optical instrumentation at high temperatures and in high temperature radiation environments. Ant Neck Joint Testing and Characterization Lead Investigator: Carlos Castro, Mechanical and Aerospace Engineering; Co-Investigator: Blaine Lilly, Mechanical and Aerospace Engineering This research seeks to characterize the micromechanical structure-function relation of several species of ants. We hypothesize that the ant’s ability to carry extremely large loads relative to its body mass is the result of a highly integrated system comprised of composite materials, internal muscle mechanisms, and surface microstructure. This work will employ a combination of scanning electron microscopy, microCT imaging, stress-strain experiments, and computational modeling to examine the exoskeleton and underlying tissues in the critical loadbearing regions where the head, thorax, and abdomen join. The results of this research will elucidate composite materials-based mechanisms that facilitate ants’ extraordinary load-carrying capabilities. Future work will apply this knowledge to the design and fabrication of bio-inspired lightweight innovative joints and mechanisms for micro- and macro- scale robotics applications.
IMR Fiscal Year 2011 -2012 Annual Report
Page 131
Evaluation of Nano, Micro and Macro Biobased Fillers in Elastomeric Applications Lead Investigator: Katrina Cornish, Horticulture and Crop Science We intend to substitute conventional mineral particulate and fibrous fillers and reinforcing agents used in elastomers with biobased materials made from agricultural byproducts and food processing wastes. We will prepare cellulosics, polysaccharides, proteins, plant-produced minerals and other bio-materials at macro, micro and nano scales, capitalizing on natural chemical and physical diversity. These materials will be incorporated into elastomeric films and compared with commercially-available materials. Applications may include (1) substrates and probiotic films with nutritional cues for controlled cellular adhesion, growth and proliferation, (2) medical gloves and balloons, (3) building materials, and (4) will explore environmental products for wastewater treatment, and oil and gasoline spills. Mechanistic Study of TIO2 Nanowires Grown by Thermal Oxidation of Titanium Alloys Lead Investigator: Suliman Dregia, Materials Science and Engineering; Co-Investigator: Sheikh A. Akbar, Materials Science and Engineering Titanium dioxide nanowires have been grown on titanium alloy substrates by a straightforward one-step heat treatment process. The Ti alloy substrates used contain a mixture of Ti-α and Ti-β phases. The nanowires produced by this method exhibit a strong preference for growth on the β phase. The objective of this proposed study is to use high resolution electron optics and compositional analysis tools to investigate the role alloying elements play in the growth of nanowires in both the α and β phases. With this information we hope to develop a better understanding of the underlying growth mechanism. An Oxygen Release System to Improve Neural Stem Cell Survival During Transplantation Lead Investigator: Jianjun Guan, Materials Science and Engineering NSC transplantation holds a great potential to treat brain diseases, but experiences a high rate of cell death during transplantation. One of the major causes is low oxygen condition at the transplantation site. The goal of this proposal is to create a novel oxygen release system capable of continuously supplying oxygen to NSCs to improve their survival under low oxygen condition. Proposal to Fabricate and Characterize Nanochannel Electroporation Devices Using Semiconductor/Cleanroom Technologies Lead Investigator: Gregory Lafyatis, Physics Nanochannel electroporation (NEP) refers to a very recently developed technique in which a controlled amount of a substance --- e.g. a drug, or targeted RNA, or DNA sample --- is electrically injected through the cell membrane of a biological cell and into the cytoplasm. In contrast to other transfection techniques, such as viral vectors, chemical agents, or even other electrical methods (“electroporation”), NEP enables precise control over the amount or dosage of the transfection agent introduced into the cell with virtually no cell mortality. To date, all devices used to effect NEP have been fabricated using polymer replication processing. Fabricating similar devices using semiconductor processing techniques should allow us to extend the capabilities of NEP beyond the technical limitations of the replication processing. In particular, we will work to make devices that, comparatively, a) are more dimensionally stable b) are specially suited to investigating the science behind NEP c) allow transfection of larger numbers of cells. Transformation Optics from Focused Ion Beams Lead Investigator: Ronald M Reano, Electrical and Computer Engineering In this research project, the use of focused ion beams to achieve large index of refraction gradients required for transformation optics will be investigated. Techniques to reduce and quantify optical losses will be established. The resulting fabrication fidelity will be compared with refractive index requirements from simulated designs.
IMR Fiscal Year 2011 -2012 Annual Report
Page 132
Spring/Summer 2012 IMR Facility Grants
Awarded by the OSU Institute for Materials Research (IMR)
Six new research projects were awarded by the IMR in June 2012, for a total investment of $12,000 in nascent materials research. The six projects support faculty researchers from five different departments within the College of Engineering and the Division of Natural and Mathematical Sciences.
Study of Fast Neutron Irradiation Effects on GaN using Depth-resolved Cathodoluminescence Spectroscopy Lei (Raymond) Cao, Mechanical and Aerospace Engineering
Gallium Nitride (GaN) is a radiation hard material that has unexplored potentials to be
used as a neutron detector in harsh radiation environment. In this study, we will
investigate the effects of radiation on semi-insulating (SI) and undoped GaN using
depth-resolved cathodoluminescence spectroscopy (DRCLS) to measure the lattice
defects due to neutron irradiation. The relationship between two main defects, termed
“yellow line” (YL) and “blue line” (BL) band will be investigated with different annealing
temperature to determine the evolution of the irradiation-induced defects in GaN. In
addition to the specified goals to be achieved in this project, the research also aims to
obtain preliminary data that could enhance proposals to meet high priority goals of
several federal agencies.
In‐situ detection of CO2 reduction intermediates Anne Co, Chemistry
The detection of reaction intermediates for identifying the mechanistic pathway of a
chemical reaction is crucial in the development of more selective heterogenous
catalysts. In this work, our goal is to utilize surface enhancing nanoporous copper foams
as an ideal substrate for identifying the reaction intermediates of the electroreduction of
CO2.
IMR Fiscal Year 2011 -2012 Annual Report
Page 133
Single- and Few-layer transport measurements of Group 14 Graphane Analogues Joshua Goldberger, Chemistry
Graphene's success has shown that it is not only possible to create stable, single-atom
thick sheets of a layered material, but that these materials can have fundamentally
different electronic structures than their parent that are significantly influenced by the
environment. With this IMR facilities grant, we will develop the capabilities of measuring
the transport properties of single-atom and few-layer thick Group 14 graphane
analogues, with a particular emphasis on H- and organic-terminated germananes. We
have successfully synthesized H-terminated germanane and have shown that it has a
1.55 eV direct band gap, that can be tuned from 1.3-1.6 eV depending on the surface
functionalization, which is in sharp contrast to the 0.67 eV indirect gap of bulk Ge.
These electronic measurements will allow us to understand the extent to which we can
manipulate the band structure with surface termination.
Single Cell Culture Wells (SiCCWells) for combinatorial approaches to cell biology Derek Hansford Biomedical Engineering
It is proposed to fabricate and evaluate microdevice platforms that allow combinatorial
culture of individual cells or clusters of cells for biological studies. This application is for
the facilities access and materials to fabricate prototype single cell culture wells
(SiCCWells) to produce preliminary results for proposals to the NIH. Studies showing
the controlled dosage of toxin to individual cells on multiple platform devices will
demonstrate the uniformity of devices and their ability to dose a known amount of
chemical to each cell.
IMR Fiscal Year 2011 -2012 Annual Report
Page 134
Development and characterization of a novel direct patterning technique for graphene using Dip-Pen Nanolithography Ezekiel Johnston-Halperin, Physics
Since its experimental discovery by Geim and Novoselov in 2004, the single atomic
layer of graphite known as graphene has proved to be an extremely promising material
for next-generation technology due to a high electron mobility, large thermal
conductivity, durability, and long spin lifetime. Since graphene is only one atomic layer
thick, its properties are strongly influenced by any materials it comes into contact with.
While this can prove useful in many situations, it also makes it difficult to pattern
graphene using typical forms of lithography such as photo- and electron beam-
lithography, which tend to leave damaging resist residues on the surface. We propose
the development of a direct patterning technique known as Dip-Pen Nanolithography
where the fine tip of an Atomic Force Microscope cantilever is used as a pen to transfer
a solution the polar solution of CoCl2 in agarose to the graphene surface. This direct
patterning will act as a non-volatile electrostatic gate, restricting the flow of electrons to
channels, allowing the study of nano- and micro- scale charge and spin interaction in
graphene.
Using Nanostructured Aerogel Films for Improved Performance of Metal Oxide Gas Sensors Patricia Morris, Materials Science & Engineering
The objective of this project is to use a continuous aerogel film as a gas sensing
element, which has never before been reported. Aerogels are ultra-lightweight materials
synthesized by sol-gel chemistry and are generally composed of metal oxides which
exhibit high porosity and extremely high surface area, a critical characteristic in gas
sensor performance. By using existing in-house deposition systems, this work seeks to
create an aerogel-structured gas sensor with a two- or three-fold increase in usable
surface area over current sensing oxide structures. Once proof of concept has been
established, this work can use the highly-customizable aerogel chemistries to create
devices optimized for applications as dictated by external funding agencies.
IMR Fiscal Year 2011 -2012 Annual Report
Page 135